Page MenuHomeFreeBSD
Feed Advanced Search

Apr 17 2021

yuripv added inline comments to D29814: bsd.prog.mk: Remove redundant check of the DEBUG_FLAGS.
Apr 17 2021, 10:42 PM
yuripv added inline comments to D29814: bsd.prog.mk: Remove redundant check of the DEBUG_FLAGS.
Apr 17 2021, 10:41 PM

Apr 16 2021

yuripv added a comment to D29584: Newly added features and bug fixes in latest Microchip SmartPQI driver..

With this patch applied, it fails much faster for me completely locking up ZFS, usually after some minutes of light load (e.g. I was doing git gc when this happened):

[ERROR]::[4:655.0][CPU 0][pqisrc_heartbeat_timer_handler][178]:controller is offline
(da1:smartpqi0:0:65:0): WRITE(10). CDB: 2a 00 05 82 4f e0 00 07 e8 00
(da2:smartpqi0:0:66:0): WRITE(10). CDB: 2a 00 05 82 7d 98 00 00 58 00
(da1:smartpqi0:0:65:0): CAM status: Unable to abort CCB request
(da1:smartpqi0:0:65:0): Error 5, Unretryable error
(da1:smartpqi0:0:65:0): WRITE(10). CDB: 2a 00 05 82 67 98 00 07 e8 00
(da1:smartpqi0:0:65:0): CAM status: Unable to abort CCB request
(da1:smartpqi0:0:65:0): Error 5, Unretryable error
...
da0 at smartpqi0 bus 0 scbus0 target 64 lun 0
da0: <ATA WDC WD40PURZ-85A 0A80>  s/n WD-WX32D7088CCV detached
(da1:smartpqi0:0:65:0): Error 5, Unretryable error
(da1:smartpqi0:0:65:0): WRITE(10). CDB: 2a 00 05 82 38 28 00 07 e8 00
(da1:smartpqi0:0:65:0): CAM status: Unable to abort CCB request
(da1:smartpqi0:0:65:0): Error 5, Unretryable error
da1 at smartpqi0 bus 0 scbus0 target 65 lun 0
da1: <ATA WDC WD40PURZ-85A 0A80>  s/n WD-WX42D70CHZS7 detached
(da2:smartpqi0:0:66:0): CAM status: Unable to abort CCB request
(da2:smartpqi0:0:66:0): Error 5, Unretryable error
(da2:smartpqi0:0:66:0): WRITE(10). CDB: 2a 00 05 82 75 b0 00 07 e8 00
(da2:smartpqi0:0:66:0): CAM status: Unable to abort CCB request
(da2:smartpqi0:0:66:0): Error 5, Unretryable error
da2 at smartpqi0 bus 0 scbus0 target 66 lun 0
da2: <ATA WDC WD40PURZ-85A 0A80>  s/n WD-WXC2D90D7YAX detached
da3 at smartpqi0 bus 0 scbus0 target 67 lun 0
da3: <ATA WDC WD40PURZ-85A 0A80>  s/n WD-WX12DB0N8F4X detached
ses0 at smartpqi0 bus 0 scbus0 target 68 lun 0
ses0: <Adaptec Smart Adapter 3.53>  s/n 7A4263EAB3E     detached
pass5 at smartpqi0 bus 0 scbus0 target 1088 lun 1
pass5: <Adaptec 1100-8i 3.53>  s/n 7A4263EAB3E     detached
(ses0:smartpqi0:0:68:0): Periph destroyed
(pass5:smartpqi0:0:1088:1): Periph destroyed
Solaris: WARNING: Pool 'data' has encountered an uncorrectable I/O failure and has been suspended.
Apr 16 2021, 8:56 AM

Apr 14 2021

yuripv requested review of D29761: expand @TPUT@ in ncurses manpages.
Apr 14 2021, 1:46 PM

Apr 11 2021

yuripv requested review of D29720: bsdinstall: restore time selection screen.
Apr 11 2021, 10:36 PM
yuripv abandoned D18658: top: fix PID sorting after r340742; add process birth time sorting.
Apr 11 2021, 9:43 PM
yuripv abandoned D25398: w: remove proc_compare().

looking at this again, i'm not sure if the logic should be simply removed and not fixed instead -- i'll file a bug in case someone else would like to fix this properly

Apr 11 2021, 9:42 PM
yuripv abandoned D27673: Re-generate locale sources for CLDR 34/Unicode 11.

not compatible with recent changes

Apr 11 2021, 8:53 PM
yuripv abandoned D22169: libsysdecode/truss: linux stat syscalls.
Apr 11 2021, 8:52 PM
yuripv abandoned D25013: w: don't truncate argument list if --libxo was specified.
Apr 11 2021, 8:52 PM

Apr 4 2021

yuripv added a reviewer for D29577: acpi(4): mention NONE as possible setting for hw.acpi.power_button_state: manpages.
Apr 4 2021, 9:22 PM
yuripv requested review of D29577: acpi(4): mention NONE as possible setting for hw.acpi.power_button_state.
Apr 4 2021, 9:22 PM
yuripv added a comment to D24428: smartpqi: Maintenance commit of Microchip smartpqi.
In D24428#657918, @imp wrote:

There's issues with applying this patch. Something seems to have gone amiss in its generation.

It almost applied cleanly to stable/12 branch, but not to the main branch:

% find . -name \*.rej
./sys/dev/smartpqi/smartpqi_mem.c.rej
./sys/dev/smartpqi/smartpqi_queue.c.rej
./sys/dev/smartpqi/smartpqi_defines.h.rej
./sys/dev/smartpqi/smartpqi_cam.c.rej
./sys/dev/smartpqi/smartpqi_misc.c.rej
./sys/dev/smartpqi/smartpqi_main.c.rej
./sys/dev/smartpqi/smartpqi_request.c.rej

In the main branch, it crashed patch :(.
A quick sample of the .rej files shows the diffs likely are easy to resolve by hand, but with such a large patch I'm leery to do so. Add '-l' to patch to cope with whitespace changes didn't seem to help.
So it looks like this patch needs to be regenerated and/or moved to git where patch generation and uploading is a bit more reliable.

(Also commented on a couple of nits that didn't look quite right in the copyright stuff, but that can wait for the patch to get done).

Hi,

  • 12.0 stable branch and 12.2 main branch has two different source codes. I've pulled the 12.0 source code and applied the patch. (12.0 stable branch has bug fixes which is done by community but I do not see the same changes in 12.2 main branch because of that the patch is failing on 12.2 main branch).

"main" mentioned is literally main git branch, where this change should go first (and it's 14.0-CURRENT at the moment). I have HBA 1100-8i that is misbehaving under load, so I'd really like to try this patch -- could you please rebase this against main?

This patch is for 12.2 only, I will push a new patch separately to the main branch.

Apr 4 2021, 4:24 PM
yuripv added inline comments to D29571: bhyve: abstract the configuration managment internal implementation.
Apr 4 2021, 12:09 PM

Mar 31 2021

yuripv added a comment to D24428: smartpqi: Maintenance commit of Microchip smartpqi.
In D24428#657918, @imp wrote:

There's issues with applying this patch. Something seems to have gone amiss in its generation.

It almost applied cleanly to stable/12 branch, but not to the main branch:

% find . -name \*.rej
./sys/dev/smartpqi/smartpqi_mem.c.rej
./sys/dev/smartpqi/smartpqi_queue.c.rej
./sys/dev/smartpqi/smartpqi_defines.h.rej
./sys/dev/smartpqi/smartpqi_cam.c.rej
./sys/dev/smartpqi/smartpqi_misc.c.rej
./sys/dev/smartpqi/smartpqi_main.c.rej
./sys/dev/smartpqi/smartpqi_request.c.rej

In the main branch, it crashed patch :(.
A quick sample of the .rej files shows the diffs likely are easy to resolve by hand, but with such a large patch I'm leery to do so. Add '-l' to patch to cope with whitespace changes didn't seem to help.
So it looks like this patch needs to be regenerated and/or moved to git where patch generation and uploading is a bit more reliable.

(Also commented on a couple of nits that didn't look quite right in the copyright stuff, but that can wait for the patch to get done).

Hi,

  • 12.0 stable branch and 12.2 main branch has two different source codes. I've pulled the 12.0 source code and applied the patch. (12.0 stable branch has bug fixes which is done by community but I do not see the same changes in 12.2 main branch because of that the patch is failing on 12.2 main branch).
Mar 31 2021, 9:20 AM

Mar 2 2021

yuripv removed a member for manpages: yuripv.
Mar 2 2021, 12:43 AM

Feb 25 2021

yuripv added inline comments to D28882: inetd: Add examples from manual page and other sources.
Feb 25 2021, 10:22 AM

Feb 23 2021

yuripv accepted D28898: rc.conf(5): Add note about parallel startup variable.
Feb 23 2021, 4:42 PM
yuripv added inline comments to D28898: rc.conf(5): Add note about parallel startup variable.
Feb 23 2021, 4:22 PM
yuripv added inline comments to D28898: rc.conf(5): Add note about parallel startup variable.
Feb 23 2021, 3:12 PM
yuripv added inline comments to D28881: release(7): Remove stray references to DOC* variables.
Feb 23 2021, 2:01 PM

Feb 19 2021

yuripv added a comment to D28785: ports(7): Fix package path and extension.

There is also https://reviews.freebsd.org/D28781.

Feb 19 2021, 11:36 AM

Feb 18 2021

yuripv added inline comments to D28781: ports(7): Update instructions for package target.
Feb 18 2021, 10:17 PM

Feb 17 2021

yuripv accepted D28593: mq_unlink(3): Add manual page.
Feb 17 2021, 5:23 PM
yuripv added a comment to D28593: mq_unlink(3): Add manual page.
In D28593#643293, @gbe wrote:

There is still the Makefile change missing.

@yuripv do you have any open issues for the man page?

I would approve it, but you have spend more time in reviewing it.

Feb 17 2021, 5:12 PM
yuripv accepted D28727: ibnd_*(3): fix source of manpage.
Feb 17 2021, 3:10 PM
yuripv added a comment to D28727: ibnd_*(3): fix source of manpage.

I'd rather not modify the contrib source, skip installing these, and use MLINKS instead.

Feb 17 2021, 2:36 PM
yuripv added inline comments to D28724: Update manpage for AMD 10GbE driver.
Feb 17 2021, 8:39 AM

Feb 15 2021

yuripv requested review of D28689: ee: restore the stdin/stdout terminal check.
Feb 15 2021, 7:31 PM
yuripv updated the diff for D28642: man: implement sysctl search.

some style.mdoc.5 cleanup

Feb 15 2021, 11:11 AM
yuripv updated the diff for D28642: man: implement sysctl search.
  • only special case .Va after .It macro
  • document the requirements in style.mdoc.5
Feb 15 2021, 9:46 AM
yuripv added inline comments to D28593: mq_unlink(3): Add manual page.
Feb 15 2021, 9:17 AM
yuripv added inline comments to D28593: mq_unlink(3): Add manual page.
Feb 15 2021, 8:26 AM
yuripv added inline comments to D28593: mq_unlink(3): Add manual page.
Feb 15 2021, 8:17 AM

Feb 13 2021

yuripv updated the diff for D28642: man: implement sysctl search.
Feb 13 2021, 8:36 PM
yuripv requested review of D28642: man: implement sysctl search.
Feb 13 2021, 8:41 AM

Feb 11 2021

yuripv added inline comments to D28372: Add BUGS section about pwrite(2).
Feb 11 2021, 2:01 PM

Feb 7 2021

yuripv added a comment to D28519: git-arc(1): Add manual page.

With few fixes, don't use .Cm for arguments, don't add <> around arguments, and use .Nm Cm for subcommands.

Feb 7 2021, 4:56 PM

Feb 5 2021

yuripv accepted D28509: grep: Fix an incorrect description of the -C flag.

Looks like usage message needs to be updated as well.

Feb 5 2021, 9:35 PM
yuripv added inline comments to D28509: grep: Fix an incorrect description of the -C flag.
Feb 5 2021, 9:31 PM
yuripv added inline comments to D28509: grep: Fix an incorrect description of the -C flag.
Feb 5 2021, 9:26 PM
yuripv added inline comments to D23963: netgraph/ng_bridge: Introduce "uplink" ports without MAC learning.
Feb 5 2021, 2:52 PM

Dec 20 2020

yuripv added inline comments to D27690: rename PKGSIGNKEY to PKG_REPO_SIGNING_KEY.
Dec 20 2020, 7:30 PM · manpages, pkgbase

Dec 19 2020

yuripv added inline comments to D27650: Add tcgetwinsize() and tcsetwinsize() to termios.h.
Dec 19 2020, 4:36 AM
yuripv added a comment to D26966: login: add a check for a post-condition when exporting the environment.
In D26966#618727, @pfg wrote:

Looks like export is only ever used in export_pam_environment(), where its return value is ignored.

Yes, I see :-/
So the change is useless, as are the checks for the pre-conditions.

It was really nice to see FreeBSD mentioned in a software security course though.

Dec 19 2020, 4:01 AM
yuripv added a comment to D26966: login: add a check for a post-condition when exporting the environment.

Looks like export is only ever used in export_pam_environment(), where its return value is ignored.

Dec 19 2020, 3:34 AM

Dec 18 2020

yuripv updated the summary of D27673: Re-generate locale sources for CLDR 34/Unicode 11.
Dec 18 2020, 6:28 PM
yuripv requested review of D27673: Re-generate locale sources for CLDR 34/Unicode 11.
Dec 18 2020, 6:26 PM
yuripv committed rS368754: tools/tools/locale: fix static-colldef.
tools/tools/locale: fix static-colldef
Dec 18 2020, 8:44 AM
yuripv committed rS368750: tools/tools/locale: install generated files in current src checkout.
tools/tools/locale: install generated files in current src checkout
Dec 18 2020, 4:01 AM

Dec 17 2020

yuripv added inline comments to D27650: Add tcgetwinsize() and tcsetwinsize() to termios.h.
Dec 17 2020, 5:32 AM
yuripv closed D27606: nl_langinfo(3): add recognized constant values.
Dec 17 2020, 2:34 AM
yuripv committed rS368711: nl_langinfo(3): document recognized item names.
nl_langinfo(3): document recognized item names
Dec 17 2020, 2:34 AM

Dec 16 2020

yuripv added inline comments to D27544: lsvfs(1): Add EXAMPLES section.
Dec 16 2020, 7:19 PM
yuripv added a reviewer for D27606: nl_langinfo(3): add recognized constant values: bapt.
Dec 16 2020, 4:46 PM
yuripv accepted D27623: strerror.3: Add an example for perror().
Dec 16 2020, 3:23 PM

Dec 15 2020

yuripv added a comment to D27623: strerror.3: Add an example for perror().
In D27623#617271, @0mp wrote:

Hmm, maybe it's better to keep the example shorter as in the err(3) manual page?

Dec 15 2020, 9:18 PM
yuripv added a comment to D27623: strerror.3: Add an example for perror().
In D27623#617254, @0mp wrote:

@yuripv, let me know if that's a nice addition to the manual page or not. :) I understand it's basic stuff, but I feel like it's nice to show common patterns in a manual for reference.

Dec 15 2020, 9:15 PM
yuripv added inline comments to D27626: fork.2: Add a simple use pattern.
Dec 15 2020, 9:13 PM
yuripv added inline comments to D27623: strerror.3: Add an example for perror().
Dec 15 2020, 6:15 PM

Dec 14 2020

yuripv requested review of D27606: nl_langinfo(3): add recognized constant values.
Dec 14 2020, 2:30 PM

Dec 13 2020

yuripv committed rS368619: MFC r368390:.
MFC r368390:
Dec 13 2020, 10:26 PM
yuripv committed rS368601: MFC r353491 by eugen:.
MFC r353491 by eugen:
Dec 13 2020, 1:45 AM

Dec 12 2020

yuripv committed rS368598: locale: fix mode for installed files to be 644, not 755.
locale: fix mode for installed files to be 644, not 755
Dec 12 2020, 11:42 PM
yuripv added a comment to D25503: Update tools/tools/locale.

As I was going through MFCing locale changes, I noticed one little (BIG) problem with this review/commit -- it forgot to actually install the updated sources to share/ subdirectories, so we currently ship what was previous version in share/.

Dec 12 2020, 11:30 PM
yuripv committed rS368594: MFC r340992:.
MFC r340992:
Dec 12 2020, 10:48 PM
yuripv closed D27509: xargs: yesexpr is no longer basic RE.
Dec 12 2020, 3:39 PM
yuripv committed rS368580: xargs: compile yesexpr as ERE.
xargs: compile yesexpr as ERE
Dec 12 2020, 3:39 PM

Dec 10 2020

yuripv added inline comments to D27541: lock(1): Add EXAMPLES section.
Dec 10 2020, 7:24 PM
yuripv added inline comments to D27545: man(1): Add EXAMPLES section.
Dec 10 2020, 4:26 PM
yuripv accepted D27541: lock(1): Add EXAMPLES section.
Dec 10 2020, 4:00 PM

Dec 8 2020

yuripv committed rS368438: MFC r362147:.
MFC r362147:
Dec 8 2020, 8:20 AM
yuripv committed rS368437: MFC r340354:.
MFC r340354:
Dec 8 2020, 7:48 AM
yuripv abandoned D17737: PR225864: use latest CLDR timedef for Catalan.
In D17737#478038, @bapt wrote:

did you forget that one?

Dec 8 2020, 7:24 AM
yuripv closed D27259: create widths.txt from utf8proc data.

Committed in rS368390, not sure why phabricator didn't grok it.

Dec 8 2020, 7:22 AM
yuripv requested review of D27509: xargs: yesexpr is no longer basic RE.
Dec 8 2020, 7:13 AM

Dec 6 2020

yuripv committed rS368390: update wcwidth data from utf8proc.
update wcwidth data from utf8proc
Dec 6 2020, 4:45 PM

Dec 4 2020

yuripv added inline comments to D27411: add altlog_jaillist to syslogd's rc script.
Dec 4 2020, 5:15 PM · manpages, rc
yuripv added inline comments to D27259: create widths.txt from utf8proc data.
Dec 4 2020, 3:07 PM

Dec 2 2020

yuripv committed rS368288: MFC r353130:.
MFC r353130:
Dec 2 2020, 10:45 PM

Nov 30 2020

yuripv added inline comments to D27418: Fix SAD DNS Exploit CVE-2020-25705.
Nov 30 2020, 8:18 PM

Nov 29 2020

yuripv added inline comments to D27411: add altlog_jaillist to syslogd's rc script.
Nov 29 2020, 10:21 PM · manpages, rc
yuripv closed D27408: Fix a copy-paste error.
Nov 29 2020, 4:30 PM
yuripv committed rS368157: security(7): fix copy/paste error and correct aslr oids.
security(7): fix copy/paste error and correct aslr oids
Nov 29 2020, 4:29 PM
yuripv closed D24744: hwpstate_intel: don't unconditionally print the error message.
Nov 29 2020, 1:43 AM
yuripv committed rS368140: hwpstate_intel: don't unconditionally print the error message.
hwpstate_intel: don't unconditionally print the error message
Nov 29 2020, 1:43 AM

Nov 28 2020

yuripv accepted D27408: Fix a copy-paste error.
Nov 28 2020, 10:07 PM
yuripv added inline comments to D27408: Fix a copy-paste error.
Nov 28 2020, 9:56 PM

Nov 25 2020

yuripv updated the summary of D27259: create widths.txt from utf8proc data.
Nov 25 2020, 9:01 PM

Nov 23 2020

yuripv added inline comments to D27204: getprogname.3: Show difference between getprogname() and argv[0] in an example.
Nov 23 2020, 8:00 PM

Nov 22 2020

yuripv added inline comments to D26139: Document missed events in devd.
Nov 22 2020, 5:34 PM
yuripv added inline comments to D27176: Discourage the use of sysexits(3) in new code.
Nov 22 2020, 5:27 PM
yuripv added inline comments to D27204: getprogname.3: Show difference between getprogname() and argv[0] in an example.
Nov 22 2020, 5:25 PM
yuripv added inline comments to D27204: getprogname.3: Show difference between getprogname() and argv[0] in an example.
Nov 22 2020, 5:24 PM
yuripv added inline comments to D27122: Stop symlinking vn.4 to md.4.
Nov 22 2020, 5:04 PM

Nov 21 2020

yuripv added a member for manpages: yuripv.
Nov 21 2020, 6:49 PM

Nov 19 2020

yuripv added inline comments to D27284: Add missing pages to the introduction of section 7.
Nov 19 2020, 4:07 PM
yuripv updated the diff for D27259: create widths.txt from utf8proc data.
  • rewrite (actually copy and modify utf8-rollup.pl) mkwidths in perl, making it 300x faster compared to sh version
  • add to README
  • use pkgconf to get utf8proc cflags/libs
Nov 19 2020, 7:36 AM

Nov 17 2020

yuripv updated the diff for D27259: create widths.txt from utf8proc data.

context

Nov 17 2020, 7:29 PM
yuripv requested review of D27259: create widths.txt from utf8proc data.
Nov 17 2020, 7:02 PM