Index: head/cad/verilator/Makefile =================================================================== --- head/cad/verilator/Makefile (revision 548700) +++ head/cad/verilator/Makefile (revision 548701) @@ -1,45 +1,44 @@ # $FreeBSD$ PORTNAME= verilator -DISTVERSION= 4.040 -PORTREVISION= 2 +DISTVERSION= 4.100 CATEGORIES= cad MASTER_SITES= https://www.veripool.org/ftp/ MAINTAINER= yuri@FreeBSD.org COMMENT= Synthesizable Verilog to C++ compiler LICENSE= GPLv3 LICENSE_FILE= ${WRKSRC}/LICENSE LIB_DEPENDS= libsystemc.so:devel/systemc USES= bison compiler:c++14-lang gmake localbase:ldflags pathfix perl5 python:build,test tar:tgz GNU_CONFIGURE= yes CONFIGURE_ENV= INSTALL_PROGRAM="${INSTALL_SCRIPT}" TEST_TARGET= test BINARY_ALIAS= make=${GMAKE} python3=${PYTHON_CMD} # aliasas are only for tests OPTIONS_DEFINE= INSTALL_DBG_EXECUTABLES LEAK_CHECKS OPTIONS_SUB= yes INSTALL_DBG_EXECUTABLES_DESC= Install *_dbg executables LEAK_CHECKS_DESC= Disable intentional memory leaks LEAK_CHECKS_CXXFLAGS= -DVL_LEAK_CHECKS LEAK_CHECKS_BROKEN= compilation will be fixed in the next release post-patch: ${REINPLACE_CMD} -e 's|@pkgconfigdir@|${PREFIX}/libdata/pkgconfig|' \ ${WRKSRC}/Makefile.in post-build: @${STRIP_CMD} ${WRKSRC}/bin/verilator_bin post-install-INSTALL_DBG_EXECUTABLES-off: @${RM} ${STAGEDIR}${PREFIX}/bin/verilator_bin_dbg ${STAGEDIR}${PREFIX}/bin/verilator_coverage_bin_dbg .include Index: head/cad/verilator/distinfo =================================================================== --- head/cad/verilator/distinfo (revision 548700) +++ head/cad/verilator/distinfo (revision 548701) @@ -1,3 +1,3 @@ -TIMESTAMP = 1598925644 -SHA256 (verilator-4.040.tgz) = 6e1574924083922a4eb80ff22eedc866f4ce54e5fd6a34101b6af7aa29e5c0e3 -SIZE (verilator-4.040.tgz) = 2720606 +TIMESTAMP = 1600148259 +SHA256 (verilator-4.100.tgz) = 22db8132209849bc09f567c48fe1eebea272102aa7b8eb1e39df520cc37ce16d +SIZE (verilator-4.100.tgz) = 2747140 Index: head/cad/verilator/pkg-plist =================================================================== --- head/cad/verilator/pkg-plist (revision 548700) +++ head/cad/verilator/pkg-plist (revision 548701) @@ -1,93 +1,92 @@ bin/verilator bin/verilator_bin %%INSTALL_DBG_EXECUTABLES%%bin/verilator_bin_dbg bin/verilator_coverage %%INSTALL_DBG_EXECUTABLES%%bin/verilator_coverage_bin_dbg bin/verilator_gantt bin/verilator_profcfunc libdata/pkgconfig/verilator.pc man/man1/verilator.1.gz man/man1/verilator_coverage.1.gz man/man1/verilator_gantt.1.gz man/man1/verilator_profcfunc.1.gz %%DATADIR%%/bin/verilator_includer %%DATADIR%%/examples/cmake_hello_c/CMakeLists.txt %%DATADIR%%/examples/cmake_hello_c/Makefile %%DATADIR%%/examples/cmake_hello_sc/CMakeLists.txt %%DATADIR%%/examples/cmake_hello_sc/Makefile %%DATADIR%%/examples/cmake_protect_lib/CMakeLists.txt %%DATADIR%%/examples/cmake_protect_lib/Makefile %%DATADIR%%/examples/cmake_tracing_c/CMakeLists.txt %%DATADIR%%/examples/cmake_tracing_c/Makefile %%DATADIR%%/examples/cmake_tracing_sc/CMakeLists.txt %%DATADIR%%/examples/cmake_tracing_sc/Makefile %%DATADIR%%/examples/make_hello_c/Makefile %%DATADIR%%/examples/make_hello_c/sim_main.cpp %%DATADIR%%/examples/make_hello_c/top.v %%DATADIR%%/examples/make_hello_sc/Makefile %%DATADIR%%/examples/make_hello_sc/sc_main.cpp %%DATADIR%%/examples/make_hello_sc/top.v %%DATADIR%%/examples/make_protect_lib/Makefile %%DATADIR%%/examples/make_protect_lib/secret_impl.v %%DATADIR%%/examples/make_protect_lib/sim_main.cpp %%DATADIR%%/examples/make_protect_lib/top.v %%DATADIR%%/examples/make_tracing_c/Makefile %%DATADIR%%/examples/make_tracing_c/Makefile_obj %%DATADIR%%/examples/make_tracing_c/input.vc %%DATADIR%%/examples/make_tracing_c/sim_main.cpp %%DATADIR%%/examples/make_tracing_c/sub.v %%DATADIR%%/examples/make_tracing_c/top.v %%DATADIR%%/examples/make_tracing_sc/Makefile %%DATADIR%%/examples/make_tracing_sc/Makefile_obj %%DATADIR%%/examples/make_tracing_sc/input.vc %%DATADIR%%/examples/make_tracing_sc/sc_main.cpp %%DATADIR%%/examples/make_tracing_sc/sub.v %%DATADIR%%/examples/make_tracing_sc/top.v %%DATADIR%%/examples/xml_py/Makefile %%DATADIR%%/examples/xml_py/sub.v %%DATADIR%%/examples/xml_py/top.v %%DATADIR%%/include/gtkwave/fastlz.c %%DATADIR%%/include/gtkwave/fastlz.h %%DATADIR%%/include/gtkwave/fst_config.h %%DATADIR%%/include/gtkwave/fstapi.c %%DATADIR%%/include/gtkwave/fstapi.h %%DATADIR%%/include/gtkwave/lz4.c %%DATADIR%%/include/gtkwave/lz4.h %%DATADIR%%/include/gtkwave/wavealloca.h %%DATADIR%%/include/verilated.cpp %%DATADIR%%/include/verilated.h %%DATADIR%%/include/verilated.mk %%DATADIR%%/include/verilated.v %%DATADIR%%/include/verilated_config.h %%DATADIR%%/include/verilated_config.h.in %%DATADIR%%/include/verilated_cov.cpp %%DATADIR%%/include/verilated_cov.h %%DATADIR%%/include/verilated_cov_key.h %%DATADIR%%/include/verilated_dpi.cpp %%DATADIR%%/include/verilated_dpi.h %%DATADIR%%/include/verilated_fst_c.cpp %%DATADIR%%/include/verilated_fst_c.h %%DATADIR%%/include/verilated_heavy.h %%DATADIR%%/include/verilated_imp.h %%DATADIR%%/include/verilated_intrinsics.h %%DATADIR%%/include/verilated_save.cpp %%DATADIR%%/include/verilated_save.h %%DATADIR%%/include/verilated_sc.h %%DATADIR%%/include/verilated_sym_props.h %%DATADIR%%/include/verilated_syms.h %%DATADIR%%/include/verilated_threads.cpp %%DATADIR%%/include/verilated_threads.h %%DATADIR%%/include/verilated_trace.h %%DATADIR%%/include/verilated_trace_imp.cpp -%%DATADIR%%/include/verilated_unordered_set_map.h %%DATADIR%%/include/verilated_vcd_c.cpp %%DATADIR%%/include/verilated_vcd_c.h %%DATADIR%%/include/verilated_vcd_sc.cpp %%DATADIR%%/include/verilated_vcd_sc.h %%DATADIR%%/include/verilated_vpi.cpp %%DATADIR%%/include/verilated_vpi.h %%DATADIR%%/include/verilatedos.h %%DATADIR%%/include/vltstd/svdpi.h %%DATADIR%%/include/vltstd/vpi_user.h %%DATADIR%%/verilator-config-version.cmake %%DATADIR%%/verilator-config.cmake