Index: head/cad/Makefile =================================================================== --- head/cad/Makefile (revision 507145) +++ head/cad/Makefile (revision 507146) @@ -1,108 +1,109 @@ # $FreeBSD$ # COMMENT = CAD tools SUBDIR += NASTRAN-95 SUBDIR += abc SUBDIR += admesh SUBDIR += adms SUBDIR += alliance SUBDIR += astk-client SUBDIR += astk-serveur SUBDIR += atlc SUBDIR += basicdsp SUBDIR += brickutils SUBDIR += calculix SUBDIR += calculix-ccx SUBDIR += cascade SUBDIR += chipvault SUBDIR += cura-engine + SUBDIR += digital SUBDIR += dinotrace SUBDIR += dxf2fig SUBDIR += electric SUBDIR += electric-ng SUBDIR += elmerfem SUBDIR += feappv SUBDIR += fidocadj SUBDIR += freecad SUBDIR += freehdl SUBDIR += fritzing SUBDIR += gdsreader SUBDIR += gdt SUBDIR += geda SUBDIR += gerbv SUBDIR += ghdl SUBDIR += gmsh SUBDIR += gnucap SUBDIR += gplcver SUBDIR += gspiceui SUBDIR += gtkwave SUBDIR += impact SUBDIR += irsim SUBDIR += iverilog SUBDIR += jspice3 SUBDIR += k40-whisperer SUBDIR += kicad SUBDIR += kicad-devel SUBDIR += kicad-doc SUBDIR += kicad-library-footprints SUBDIR += kicad-library-footprints-devel SUBDIR += kicad-library-packages3d SUBDIR += kicad-library-packages3d-devel SUBDIR += kicad-library-symbols SUBDIR += kicad-library-symbols-devel SUBDIR += kicad-library-templates SUBDIR += kicad-library-templates-devel SUBDIR += klayout SUBDIR += ldraw SUBDIR += leocad SUBDIR += lepton-eda SUBDIR += libopencad SUBDIR += librecad SUBDIR += libredwg SUBDIR += linux-eagle5 SUBDIR += linuxcnc-devel SUBDIR += logisim SUBDIR += magic SUBDIR += meshdev SUBDIR += netgen SUBDIR += ngspice_rework SUBDIR += opencascade SUBDIR += openscad SUBDIR += openscad-devel SUBDIR += openvsp SUBDIR += p5-GDS2 SUBDIR += p5-Verilog-Perl SUBDIR += pcb SUBDIR += pdnmesh SUBDIR += py-gdspy SUBDIR += py-lcapy SUBDIR += py-phidl SUBDIR += py-pycam SUBDIR += py-pyfda SUBDIR += python-gdsii SUBDIR += pythoncad SUBDIR += qcad SUBDIR += qelectrotech SUBDIR += qmls SUBDIR += repsnapper SUBDIR += rubygem-gdsii SUBDIR += scotch SUBDIR += solvespace SUBDIR += sp2sp SUBDIR += spice SUBDIR += stepcode SUBDIR += sumo SUBDIR += sweethome3d SUBDIR += tkgate SUBDIR += tochnog SUBDIR += transcalc SUBDIR += varkon SUBDIR += verilator SUBDIR += verilog-mode.el SUBDIR += xcircuit SUBDIR += z88 SUBDIR += zcad .include Index: head/cad/digital/Makefile =================================================================== --- head/cad/digital/Makefile (nonexistent) +++ head/cad/digital/Makefile (revision 507146) @@ -0,0 +1,62 @@ +# $FreeBSD$ + +PORTNAME= digital +DISTVERSIONPREFIX= v +DISTVERSION= 0.22 +CATEGORIES= cad java + +MAINTAINER= yuri@FreeBSD.org +COMMENT= Digital logic designer and circuit simulator + +LICENSE= GPLv3 +LICENSE_FILE= ${WRKSRC}/LICENSE + +BUILD_DEPENDS= mvn:devel/maven + +USE_JAVA= yes +USE_GITHUB= yes +GH_ACCOUNT= hneemann +GH_PROJECT= Digital + +NO_ARCH= yes + +# to rebuild the deps archive: +# 1. set DEV_UPDATE_MODE=yes +# 2. make makesum build +# 3. upload the *-deps archive +# 4. set DEV_UPDATE_MODE=no +# 5. make clean makesum + +DEV_UPDATE_MODE= no + +.if (${DEV_UPDATE_MODE} == "yes") +post-build: + @cd ${WRKDIR} && ${TAR} czf ${DISTDIR}/${PORTNAME}-${DISTVERSION}-deps${EXTRACT_SUFX} .m2 + @${ECHO} "(!!!) Please upload the maven deps archive: ${DISTDIR}/${PORTNAME}-${DISTVERSION}-deps${EXTRACT_SUFX}" +.else +MASTER_SITES+= LOCAL/yuri/:maven +DISTFILES+= ${PORTNAME}-${DISTVERSION}-deps${EXTRACT_SUFX}:maven +MVN_ARGS= --offline +.endif + +DESKTOP_ENTRIES= "Digital logic designer" "Digital logic designer and circuit simulator" "" "${PORTNAME}" "Electronics;" "" + +PLIST_FILES= bin/${PORTNAME} \ + ${JAVAJARDIR}/Digital.jar + +do-build: + @cd ${WRKSRC} && ${SETENV} ${MAKE_ENV} \ + ${LOCALBASE}/bin/mvn ${MVN_ARGS} \ + -fae install \ + -Dmaven.test.skip=true \ + -Duser.home=${WRKDIR} \ + package +do-install: + ${INSTALL_DATA} ${WRKSRC}/target/Digital.jar ${STAGEDIR}${JAVAJARDIR} + @(echo "#!/bin/sh"; \ + echo ""; \ + echo "${JAVA} -jar ${JAVAJARDIR}/Digital.jar \""$$"@\"" \ + ) > ${STAGEDIR}${PREFIX}/bin/${PORTNAME} + @${CHMOD} +x ${STAGEDIR}${PREFIX}/bin/${PORTNAME} + +.include Property changes on: head/cad/digital/Makefile ___________________________________________________________________ Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Added: svn:keywords ## -0,0 +1 ## +FreeBSD=%H \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +text/plain \ No newline at end of property Index: head/cad/digital/distinfo =================================================================== --- head/cad/digital/distinfo (nonexistent) +++ head/cad/digital/distinfo (revision 507146) @@ -0,0 +1,5 @@ +TIMESTAMP = 1563812653 +SHA256 (digital-0.22-deps.tar.gz) = 2898250162babda82352e34b36aef95010f5e973af9eb3fde78aa16745e54057 +SIZE (digital-0.22-deps.tar.gz) = 51278882 +SHA256 (hneemann-Digital-v0.22_GH0.tar.gz) = 445d2993785d1f5dd59c41aed6ea68d42ae7a83c6cb1d0c5037de44f2fc465dd +SIZE (hneemann-Digital-v0.22_GH0.tar.gz) = 3768846 Property changes on: head/cad/digital/distinfo ___________________________________________________________________ Added: fbsd:nokeywords ## -0,0 +1 ## +yes \ No newline at end of property Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +text/plain \ No newline at end of property Index: head/cad/digital/files/patch-pom.xml =================================================================== --- head/cad/digital/files/patch-pom.xml (nonexistent) +++ head/cad/digital/files/patch-pom.xml (revision 507146) @@ -0,0 +1,37 @@ +Maybe this can be entirely eliminated with new maven args: -P no-git-rev -Dgit.commit.id.describe=${DISTVERSION} + +--- pom.xml.orig 2019-07-22 05:00:43 UTC ++++ pom.xml +@@ -133,25 +133,6 @@ + + + +- pl.project13.maven +- git-commit-id-plugin +- 2.2.1 +- +- +- get-the-git-infos +- +- revision +- +- +- +- +- ${project.basedir}/.git +- false +- flat +- +- +- +- + org.apache.maven.plugins + maven-checkstyle-plugin + 2.17 +@@ -330,4 +311,4 @@ + scm:git:file://localhost/${pom.basedir} + + +- +\ No newline at end of file ++ Property changes on: head/cad/digital/files/patch-pom.xml ___________________________________________________________________ Added: fbsd:nokeywords ## -0,0 +1 ## +yes \ No newline at end of property Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +text/plain \ No newline at end of property Index: head/cad/digital/pkg-descr =================================================================== --- head/cad/digital/pkg-descr (nonexistent) +++ head/cad/digital/pkg-descr (revision 507146) @@ -0,0 +1,24 @@ +Features: +* Visualization of signal states with measurement graphs. +* Single gate mode to analyze oscillations. +* Analysis and synthesis of combinatorial and sequential circuits. +* Simple testing of circuits: You can create test cases and execute them to + verify your design. +* Includes a simple editor for finite state machines (FSM). A FSM can then be + converted to a state transition table and a circuit implementing the FSM. +* Contains a library with the most commonly used 74xx series integrated circuits +* Supports generic circuits. This allows the creation of circuits that can be + parameterized when used. In this way, it is possible, for e.g., to create a + barrel shifter with a selectable bit width. +* Supports large circuits: The "Conway's Game of Life" example consists of about + 2400 active components and works just fine. +* It is possible to use custom components which are implemented in Java and + packed in a jar file. See this example for details. +* Simple remote TCP interface which e.g. allows an assembler IDE to control the + simulator. +* Components can be described using VHDL or Verilog. The open source VHDL + simulator ghdl needs to be installed to simulate a VHDL defined component, and + the open source Verilog simulator Icarus Verilog is required to simulate a + Verilog defined component. + +WWW: https://github.com/hneemann/Digital Property changes on: head/cad/digital/pkg-descr ___________________________________________________________________ Added: fbsd:nokeywords ## -0,0 +1 ## +yes \ No newline at end of property Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +text/plain \ No newline at end of property