Index: head/comms/uhd/Makefile =================================================================== --- head/comms/uhd/Makefile (revision 456636) +++ head/comms/uhd/Makefile (revision 456637) @@ -1,74 +1,74 @@ # $FreeBSD$ PORTNAME= uhd -PORTVERSION= 3.10.1.1 -PORTREVISION= 4 +PORTVERSION= 3.10.2.0 +#PORTREVISION= 4 CATEGORIES= comms hamradio MASTER_SITES= http://files.ettus.com/binaries/images/ DISTFILES= ${IMAGE_FILE} DIST_SUBDIR= ${PORTNAME} MAINTAINER= mr@FreeBSD.org COMMENT= Ettus Research UHD driver framework LIB_DEPENDS= libboost_python.so:devel/boost-python-libs BUILD_DEPENDS= ${LOCALBASE}/include/boost/tuple/tuple.hpp:devel/boost-libs \ cheetah-analyze:devel/py-cheetah@${PY_FLAVOR} \ rst2html:textproc/py-docutils \ orcc:devel/orc \ ${PYTHON_PKGNAMEPREFIX}mako>0:textproc/py-mako@${PY_FLAVOR} USE_GITHUB= yes GH_ACCOUNT= EttusResearch -GH_TAGNAME= c705922a08e32c8b0ec7ef6af6137835f87b2f42 +GH_TAGNAME= bd6e21dc06cfca6f1165b1eba6ddbf5a46dc343c CONFLICTS= usrp-[0-9]* BROKEN_powerpc64= fails to link: undefined reference to boost function USES= compiler:c++0x cmake:outsource ncurses pkgconfig \ dos2unix python:2.7 shebangfix USE_LDCONFIG= yes CMAKE_SOURCE_PATH= ${WRKSRC}/host #MAKE_JOBS_UNSAFE= yes CXXFLAGS_amd64= -msse2 CXXFLAGS_i386= -msse2 SHEBANG_GLOB= *.py *.py.in CMAKE_ARGS+= -DPKG_LIB_DIR:STRING="share/uhd" -DUHD_TXRX_DEBUG_PRINTS="yes" # for excruciating debug use this -db #CMAKE_ARGS+= --debug-output --trace -IMAGE_FILE= uhd-images_003.010.001.001-release.zip +IMAGE_FILE= uhd-images_003.010.002.000-release.zip OPTIONS_DEFINE= DOXYGEN #OPTIONS_DEFAULT= DOXYGEN OPTIONS_SUB=yes DOXYGEN_BUILD_DEPENDS= doxygen:devel/doxygen .include .if ${PORT_OPTIONS:MDOXYGEN} BUILD_DEPENDS+= doxygen:devel/doxygen CMAKE_ARGS+= -DENABLE_DOXYGEN:STRING="ON" HAVEDOCS= YES .endif post-patch: # USES=pathfix handles LIBRARY_INSTALL_DIR but not LIBRARY_DIR @${REINPLACE_CMD} '/pkgconfig/s|LIBRARY_DIR}|CMAKE_INSTALL_PREFIX}/libdata|' \ ${PATCH_WRKSRC}/host/CMakeLists.txt do-install: # install host component # hack the install prefix now @${REINPLACE_CMD} -e "s|/usr/local|${STAGEDIR}${PREFIX}|g" \ ${CONFIGURE_WRKSRC}/cmake_install.cmake cd ${CONFIGURE_WRKSRC} && ${MAKE_CMD} install .for subdir in images ${CP} -Rp ${WRKDIR}/${IMAGE_FILE:S|.zip||}/share/uhd/${subdir} ${STAGEDIR}${DATADIR} .endfor .include Index: head/comms/uhd/distinfo =================================================================== --- head/comms/uhd/distinfo (revision 456636) +++ head/comms/uhd/distinfo (revision 456637) @@ -1,5 +1,5 @@ -TIMESTAMP = 1500117562 -SHA256 (uhd/uhd-images_003.010.001.001-release.zip) = 4526649bb37d7ba60ebcc1a016453f07de2bd087535d670b9eb3afd750379942 -SIZE (uhd/uhd-images_003.010.001.001-release.zip) = 57254771 -SHA256 (uhd/EttusResearch-uhd-3.10.1.1-c705922a08e32c8b0ec7ef6af6137835f87b2f42_GH0.tar.gz) = 55ecf69a0961fb58acda1753ca164a5074abc073f6beb303363ac440ca8acc42 -SIZE (uhd/EttusResearch-uhd-3.10.1.1-c705922a08e32c8b0ec7ef6af6137835f87b2f42_GH0.tar.gz) = 7540294 +TIMESTAMP = 1513524207 +SHA256 (uhd/uhd-images_003.010.002.000-release.zip) = a4ae7df05230c0ed7819ec34720a3a079f2f28ae6c52a0ee039fd58cab4ad906 +SIZE (uhd/uhd-images_003.010.002.000-release.zip) = 57471231 +SHA256 (uhd/EttusResearch-uhd-3.10.2.0-bd6e21dc06cfca6f1165b1eba6ddbf5a46dc343c_GH0.tar.gz) = 7ac7fd15f2be5ed44152d69f99dc4f7eb1d58a5f093841990c8650224d3f7f7a +SIZE (uhd/EttusResearch-uhd-3.10.2.0-bd6e21dc06cfca6f1165b1eba6ddbf5a46dc343c_GH0.tar.gz) = 7554133 Index: head/comms/uhd/pkg-plist =================================================================== --- head/comms/uhd/pkg-plist (revision 456636) +++ head/comms/uhd/pkg-plist (revision 456637) @@ -1,289 +1,290 @@ bin/octoclock_firmware_burner bin/uhd_cal_rx_iq_balance bin/uhd_cal_tx_dc_offset bin/uhd_cal_tx_iq_balance bin/uhd_config_info bin/uhd_find_devices bin/uhd_image_loader bin/uhd_usrp_probe bin/usrp_n2xx_simple_net_burner bin/usrp_x3xx_fpga_burner include/uhd.h include/uhd/build_info.hpp include/uhd/config.h include/uhd/config.hpp include/uhd/convert.hpp include/uhd/deprecated.hpp include/uhd/device.hpp include/uhd/device_deprecated.ipp include/uhd/error.h include/uhd/exception.hpp include/uhd/property_tree.hpp include/uhd/property_tree.ipp include/uhd/stream.hpp include/uhd/transport/bounded_buffer.hpp include/uhd/transport/bounded_buffer.ipp include/uhd/transport/buffer_pool.hpp include/uhd/transport/chdr.hpp include/uhd/transport/if_addrs.hpp include/uhd/transport/tcp_zero_copy.hpp include/uhd/transport/udp_constants.hpp include/uhd/transport/udp_simple.hpp include/uhd/transport/udp_zero_copy.hpp include/uhd/transport/usb_control.hpp include/uhd/transport/usb_device_handle.hpp include/uhd/transport/usb_zero_copy.hpp include/uhd/transport/vrt_if_packet.hpp include/uhd/transport/zero_copy.hpp include/uhd/types/byte_vector.hpp include/uhd/types/clock_config.hpp include/uhd/types/device_addr.hpp include/uhd/types/dict.hpp include/uhd/types/dict.ipp include/uhd/types/direction.hpp include/uhd/types/endianness.hpp include/uhd/types/filters.hpp include/uhd/types/io_type.hpp include/uhd/types/mac_addr.hpp include/uhd/types/metadata.h include/uhd/types/metadata.hpp include/uhd/types/otw_type.hpp include/uhd/types/ranges.h include/uhd/types/ranges.hpp include/uhd/types/ref_vector.hpp include/uhd/types/sensors.h include/uhd/types/sensors.hpp include/uhd/types/serial.hpp include/uhd/types/sid.hpp include/uhd/types/stream_cmd.hpp include/uhd/types/string_vector.h include/uhd/types/time_spec.hpp include/uhd/types/tune_request.h include/uhd/types/tune_request.hpp include/uhd/types/tune_result.h include/uhd/types/tune_result.hpp include/uhd/types/usrp_info.h include/uhd/types/wb_iface.hpp include/uhd/usrp/dboard_base.hpp include/uhd/usrp/dboard_eeprom.h include/uhd/usrp/dboard_eeprom.hpp include/uhd/usrp/dboard_id.hpp include/uhd/usrp/dboard_iface.hpp include/uhd/usrp/dboard_manager.hpp include/uhd/usrp/fe_connection.hpp include/uhd/usrp/gpio_defs.hpp include/uhd/usrp/gps_ctrl.hpp include/uhd/usrp/mboard_eeprom.h include/uhd/usrp/mboard_eeprom.hpp include/uhd/usrp/multi_usrp.hpp include/uhd/usrp/subdev_spec.h include/uhd/usrp/subdev_spec.hpp include/uhd/usrp/usrp.h include/uhd/usrp_clock/multi_usrp_clock.hpp include/uhd/usrp_clock/octoclock_eeprom.hpp include/uhd/usrp_clock/usrp_clock.h include/uhd/utils/algorithm.hpp include/uhd/utils/assert_has.hpp include/uhd/utils/assert_has.ipp include/uhd/utils/atomic.hpp include/uhd/utils/byteswap.hpp include/uhd/utils/byteswap.ipp include/uhd/utils/cast.hpp include/uhd/utils/csv.hpp include/uhd/utils/fp_compare_delta.ipp include/uhd/utils/fp_compare_epsilon.ipp include/uhd/utils/gain_group.hpp include/uhd/utils/log.hpp include/uhd/utils/math.hpp include/uhd/utils/msg.hpp include/uhd/utils/msg_task.hpp include/uhd/utils/paths.hpp include/uhd/utils/pimpl.hpp include/uhd/utils/platform.hpp include/uhd/utils/safe_call.hpp include/uhd/utils/safe_main.hpp include/uhd/utils/static.hpp include/uhd/utils/tasks.hpp include/uhd/utils/thread_priority.h include/uhd/utils/thread_priority.hpp include/uhd/version.hpp lib/cmake/uhd/UHDConfig.cmake lib/cmake/uhd/UHDConfigVersion.cmake lib/libuhd.so lib/libuhd.so.003 lib/libuhd.so.003.010 libdata/pkgconfig/uhd.pc %%PORTDOCS%%%%DOCSDIR%%/LICENSE %%PORTDOCS%%%%DOCSDIR%%/README.md share/man/man1/octoclock_firmware_burner.1.gz share/man/man1/uhd_cal_rx_iq_balance.1.gz share/man/man1/uhd_cal_tx_dc_offset.1.gz share/man/man1/uhd_cal_tx_iq_balance.1.gz share/man/man1/uhd_config_info.1.gz share/man/man1/uhd_find_devices.1.gz share/man/man1/uhd_image_loader.1.gz share/man/man1/uhd_images_downloader.1.gz share/man/man1/uhd_usrp_probe.1.gz share/man/man1/usrp2_card_burner.1.gz share/man/man1/usrp_n2xx_simple_net_burner.1.gz share/man/man1/usrp_x3xx_fpga_burner.1.gz %%DATADIR%%/examples/benchmark_rate %%DATADIR%%/examples/gpio %%DATADIR%%/examples/latency_test %%DATADIR%%/examples/network_relay %%DATADIR%%/examples/rx_ascii_art_dft %%DATADIR%%/examples/rx_multi_samples %%DATADIR%%/examples/rx_samples_c %%DATADIR%%/examples/rx_samples_to_file %%DATADIR%%/examples/rx_samples_to_udp %%DATADIR%%/examples/rx_timed_samples %%DATADIR%%/examples/sync_to_gps %%DATADIR%%/examples/test_clock_synch %%DATADIR%%/examples/test_dboard_coercion %%DATADIR%%/examples/test_messages %%DATADIR%%/examples/test_pps_input %%DATADIR%%/examples/test_timed_commands +%%DATADIR%%/examples/twinrx_freq_hopping %%DATADIR%%/examples/tx_bursts %%DATADIR%%/examples/tx_samples_c %%DATADIR%%/examples/tx_samples_from_file %%DATADIR%%/examples/tx_timed_samples %%DATADIR%%/examples/tx_waveforms %%DATADIR%%/examples/txrx_loopback_to_file -%%DATADIR%%/images/003.010.001.001.tag +%%DATADIR%%/images/003.010.002.000.tag %%DATADIR%%/images/LICENSE %%DATADIR%%/images/bit/usrp_n200_r3_fpga.bit %%DATADIR%%/images/bit/usrp_n200_r4_fpga.bit %%DATADIR%%/images/bit/usrp_n210_r3_fpga.bit %%DATADIR%%/images/bit/usrp_n210_r4_fpga.bit %%DATADIR%%/images/octoclock_bootloader.hex %%DATADIR%%/images/octoclock_r4_fw.hex %%DATADIR%%/images/usrp1_fpga.rbf %%DATADIR%%/images/usrp1_fpga_4rx.rbf %%DATADIR%%/images/usrp1_fw.ihx %%DATADIR%%/images/usrp2_fpga.bin %%DATADIR%%/images/usrp2_fw.bin %%DATADIR%%/images/usrp_b100_fpga.bin %%DATADIR%%/images/usrp_b100_fpga_2rx.bin %%DATADIR%%/images/usrp_b100_fw.ihx %%DATADIR%%/images/usrp_b200_fpga.bin %%DATADIR%%/images/usrp_b200_fw.hex %%DATADIR%%/images/usrp_b200mini_fpga.bin %%DATADIR%%/images/usrp_b205mini_fpga.bin %%DATADIR%%/images/usrp_b210_fpga.bin %%DATADIR%%/images/usrp_e100_fpga_v2.bin %%DATADIR%%/images/usrp_e110_fpga.bin %%DATADIR%%/images/usrp_e310_fpga.bit %%DATADIR%%/images/usrp_e310_fpga_sg3.bit %%DATADIR%%/images/usrp_e3xx_fpga_idle.bit %%DATADIR%%/images/usrp_e3xx_fpga_idle_sg3.bit %%DATADIR%%/images/usrp_n200_fw.bin %%DATADIR%%/images/usrp_n200_r2_fpga.bin %%DATADIR%%/images/usrp_n200_r3_fpga.bin %%DATADIR%%/images/usrp_n200_r4_fpga.bin %%DATADIR%%/images/usrp_n210_fw.bin %%DATADIR%%/images/usrp_n210_r2_fpga.bin %%DATADIR%%/images/usrp_n210_r3_fpga.bin %%DATADIR%%/images/usrp_n210_r4_fpga.bin %%DATADIR%%/images/usrp_n230_fpga.bit %%DATADIR%%/images/usrp_x300_fpga_HG.bit %%DATADIR%%/images/usrp_x300_fpga_HG.lvbitx %%DATADIR%%/images/usrp_x300_fpga_XG.bit %%DATADIR%%/images/usrp_x300_fpga_XG.lvbitx %%DATADIR%%/images/usrp_x310_fpga_HG.bit %%DATADIR%%/images/usrp_x310_fpga_HG.lvbitx %%DATADIR%%/images/usrp_x310_fpga_XG.bit %%DATADIR%%/images/usrp_x310_fpga_XG.lvbitx %%DATADIR%%/images/winusb_driver/amd64/WdfCoInstaller01009.dll %%DATADIR%%/images/winusb_driver/amd64/winusbcoinstaller2.dll %%DATADIR%%/images/winusb_driver/erllc_uhd.cat %%DATADIR%%/images/winusb_driver/erllc_uhd_b100.inf %%DATADIR%%/images/winusb_driver/erllc_uhd_b200.inf %%DATADIR%%/images/winusb_driver/erllc_uhd_b200_reinit.inf %%DATADIR%%/images/winusb_driver/erllc_uhd_b200mini.inf %%DATADIR%%/images/winusb_driver/erllc_uhd_b205mini.inf %%DATADIR%%/images/winusb_driver/erllc_uhd_makecat.cdf %%DATADIR%%/images/winusb_driver/erllc_uhd_usrp1.inf %%DATADIR%%/images/winusb_driver/x86/WdfCoInstaller01009.dll %%DATADIR%%/images/winusb_driver/x86/winusbcoinstaller2.dll %%DATADIR%%/rfnoc/blocks/addsub.xml %%DATADIR%%/rfnoc/blocks/block.xml %%DATADIR%%/rfnoc/blocks/ddc.xml %%DATADIR%%/rfnoc/blocks/ddc_single.xml %%DATADIR%%/rfnoc/blocks/dma_fifo.xml %%DATADIR%%/rfnoc/blocks/duc.xml %%DATADIR%%/rfnoc/blocks/fft.xml %%DATADIR%%/rfnoc/blocks/fifo.xml %%DATADIR%%/rfnoc/blocks/fir.xml %%DATADIR%%/rfnoc/blocks/fosphor.xml %%DATADIR%%/rfnoc/blocks/keep_one_in_n.xml %%DATADIR%%/rfnoc/blocks/logpwr.xml %%DATADIR%%/rfnoc/blocks/nullblock.xml %%DATADIR%%/rfnoc/blocks/ofdmeq.xml %%DATADIR%%/rfnoc/blocks/packetresizer.xml %%DATADIR%%/rfnoc/blocks/radio_x300.xml %%DATADIR%%/rfnoc/blocks/siggen.xml %%DATADIR%%/rfnoc/blocks/window.xml %%DATADIR%%/tests/addr_test %%DATADIR%%/tests/buffer_test %%DATADIR%%/tests/byteswap_test %%DATADIR%%/tests/cast_test %%DATADIR%%/tests/chdr_test %%DATADIR%%/tests/convert_test %%DATADIR%%/tests/devtest/benchmark_rate_test.py %%DATADIR%%/tests/devtest/bitbang_test.py %%DATADIR%%/tests/devtest/devtest_b2xx.py %%DATADIR%%/tests/devtest/devtest_e3xx.py %%DATADIR%%/tests/devtest/devtest_x3x0.py %%DATADIR%%/tests/devtest/gpio_test.py %%DATADIR%%/tests/devtest/run_testsuite.py %%DATADIR%%/tests/devtest/rx_samples_to_file_test.py %%DATADIR%%/tests/devtest/test_messages_test.py %%DATADIR%%/tests/devtest/test_pps_test.py %%DATADIR%%/tests/devtest/tx_bursts_test.py %%DATADIR%%/tests/devtest/uhd_test_base.py %%DATADIR%%/tests/devtest/usrp_probe.py %%DATADIR%%/tests/devtest/usrp_probe_test.py %%DATADIR%%/tests/dict_test %%DATADIR%%/tests/eeprom_c_test %%DATADIR%%/tests/error_c_test %%DATADIR%%/tests/error_test %%DATADIR%%/tests/expert_test %%DATADIR%%/tests/fe_conn_test %%DATADIR%%/tests/fp_compare_delta_test %%DATADIR%%/tests/fp_compare_epsilon_test %%DATADIR%%/tests/gain_group_test %%DATADIR%%/tests/math_test %%DATADIR%%/tests/msg_test %%DATADIR%%/tests/nocscript_expr_test %%DATADIR%%/tests/nocscript_ftable_test %%DATADIR%%/tests/nocscript_parser_test %%DATADIR%%/tests/property_test %%DATADIR%%/tests/ranges_c_test %%DATADIR%%/tests/ranges_test %%DATADIR%%/tests/sensors_c_test %%DATADIR%%/tests/sid_t_test %%DATADIR%%/tests/sph_recv_test %%DATADIR%%/tests/sph_send_test %%DATADIR%%/tests/string_vector_c_test %%DATADIR%%/tests/subdev_spec_c_test %%DATADIR%%/tests/subdev_spec_test %%DATADIR%%/tests/time_spec_test %%DATADIR%%/tests/vrt_test %%DATADIR%%/utils/b2xx_fx3_utils %%DATADIR%%/utils/converter_benchmark %%DATADIR%%/utils/converter_benchmark.py %%DATADIR%%/utils/fx2_init_eeprom %%DATADIR%%/utils/latency/graph.py %%DATADIR%%/utils/latency/responder %%DATADIR%%/utils/latency/run_tests.py %%DATADIR%%/utils/octoclock_burn_eeprom %%DATADIR%%/utils/query_gpsdo_sensors %%DATADIR%%/utils/uhd_images_downloader.py %%DATADIR%%/utils/usrp2_card_burner.py %%DATADIR%%/utils/usrp2_card_burner_gui.py %%DATADIR%%/utils/usrp_burn_db_eeprom %%DATADIR%%/utils/usrp_burn_mb_eeprom %%DATADIR%%/utils/usrp_n2xx_net_burner.py %%DATADIR%%/utils/usrp_n2xx_net_burner_gui.py %%DATADIR%%/utils/usrp_n2xx_simple_net_burner %%DATADIR%%/utils/usrp_x3xx_fpga_burner