diff --git a/cad/surelog/Makefile b/cad/surelog/Makefile index 8072ef5f08e4..4166b75e3ac0 100644 --- a/cad/surelog/Makefile +++ b/cad/surelog/Makefile @@ -1,75 +1,75 @@ PORTNAME= surelog DISTVERSIONPREFIX= v -DISTVERSION= 1.80 +DISTVERSION= 1.81 CATEGORIES= cad MAINTAINER= yuri@FreeBSD.org COMMENT= SystemVerilog 2017 Pre-processor, Parser, Elaborator, etc WWW= https://github.com/chipsalliance/Surelog LICENSE= APACHE20 LICENSE_FILE= ${WRKSRC}/LICENSE BROKEN_aarch64= compilation fails: Creating OVM precompiled package... Segmentation fault (core dumped) # update to the current revision might help but it has C++ errors BROKEN_armv6= compilation fails: Creating OVM precompiled package... libunwind: personality function returned unknown result 5 BROKEN_i386= compilation fails: conversion function cannot be redeclared, see https://github.com/chipsalliance/Surelog/issues/3206 BUILD_DEPENDS= utf8cpp>0:devel/utf8cpp \ ${PYTHON_PKGNAMEPREFIX}orderedmultidict>0:devel/py-orderedmultidict@${PY_FLAVOR} BUILD_DEPENDS+= googletest>0:devel/googletest # workaround for https://github.com/chipsalliance/Surelog/issues/3826 LIB_DEPENDS= libcapnp.so:devel/capnproto \ libuhdm.so:cad/uhdm TEST_DEPENDS= googletest>0:devel/googletest USES= cmake:testing compiler:c++17-lang localbase:ldflags tcl:86,build USE_JAVA= 17 # Java selection fails in cmake when Java 11 is also installed, see https://gitlab.kitware.com/cmake/cmake/-/issues/24674 USE_LDCONFIG= ${PREFIX}/lib ${PREFIX}/lib/surelog JAVA_BUILD= yes JAVA_RUN= no USE_GITHUB= yes GH_ACCOUNT= chipsalliance GH_PROJECT= Surelog GH_TUPLE= alainmarcel:antlr4:a27cf84:antlr4/third_party/antlr4 \ nlohmann:json:788e546:json/third_party/json CMAKE_ON= BUILD_SHARED_LIBS \ SURELOG_USE_HOST_UHDM \ SURELOG_USE_HOST_CAPNP \ SURELOG_USE_HOST_GTEST CMAKE_OFF= SURELOG_BUILD_TESTS CMAKE_ARGS= -DFREEBSD_JAVA_VERSION=${USE_JAVA} \ -DPython3_EXECUTABLE=${PYTHON_CMD} CMAKE_TESTING_ON= SURELOG_BUILD_TESTS # 2 tests fail, see https://github.com/chipsalliance/Surelog/issues/3545 CMAKE_TESTING_TARGET= UnitTests BINARY_ALIAS= python3=${PYTHON_CMD} tclsh=${TCLSH} CONFLICTS_BUILD= openjdk8 openjdk11 openjdk18 openjdk19 OPTIONS_DEFINE= PYTHON TCMALLOC OPTIONS_DEFAULT= PYTHON TCMALLOC # should be the same TCMALLOC default as in cad/yosys, cad/uhdm because surelog's lib is used in the yosys plugin cad/yosys-systemverilog OPTIONS_SUB= yes PYTHON_USES= python PYTHON_USES_OFF= python:build PYTHON_BUILD_DEPENDS= swig:devel/swig PYTHON_CMAKE_BOOL= SURELOG_WITH_PYTHON PYTHON_CMAKE_ON= -DFREEBSD_PYTHON_DISTVERSION=${PYTHON_DISTVERSION} TCMALLOC_CMAKE_BOOL= SURELOG_WITH_TCMALLOC TCMALLOC_LIB_DEPENDS= libtcmalloc.so:devel/google-perftools PORTSCOUT= limit:^.*[0-9]\.[0-9] # prevent tags like 'show' post-install: # workaround for https://github.com/chipsalliance/Surelog/issues/3596 @${RMDIR} \ ${STAGEDIR}${DATADIR}/pkg/work \ ${STAGEDIR}${DATADIR}/pkg \ ${STAGEDIR}${DATADIR} post-test: cd ${BUILD_WRKSRC} && ctest .include diff --git a/cad/surelog/distinfo b/cad/surelog/distinfo index c7f42767a18b..17c5903ecb12 100644 --- a/cad/surelog/distinfo +++ b/cad/surelog/distinfo @@ -1,7 +1,7 @@ -TIMESTAMP = 1700040556 -SHA256 (chipsalliance-Surelog-v1.80_GH0.tar.gz) = 40e564bbacccce25ebcb00aca7a9a1abac711574674f71b056eb2b8015b89021 -SIZE (chipsalliance-Surelog-v1.80_GH0.tar.gz) = 95822304 +TIMESTAMP = 1701627631 +SHA256 (chipsalliance-Surelog-v1.81_GH0.tar.gz) = 5b7a01496f89638576d890b892600b14115f8639ea08b2b4b1b1a7cdde820bf0 +SIZE (chipsalliance-Surelog-v1.81_GH0.tar.gz) = 95819885 SHA256 (alainmarcel-antlr4-a27cf84_GH0.tar.gz) = f1d2636c219d2fa9faad1672739e409d6a9a78ac1495a911ae2a5e43bd5194d1 SIZE (alainmarcel-antlr4-a27cf84_GH0.tar.gz) = 4205182 SHA256 (nlohmann-json-788e546_GH0.tar.gz) = 5d7e9a9fafbb0d0ddd6b1364fd701a86972782ca7c2dace80eefa9c312c5926f SIZE (nlohmann-json-788e546_GH0.tar.gz) = 8039831 diff --git a/cad/surelog/pkg-plist b/cad/surelog/pkg-plist index a1daef1d1c06..1435103e3c14 100644 --- a/cad/surelog/pkg-plist +++ b/cad/surelog/pkg-plist @@ -1,89 +1,89 @@ bin/roundtrip bin/surelog include/Surelog/API/PythonAPI.h include/Surelog/API/SLAPI.h include/Surelog/API/Surelog.h include/Surelog/CommandLine/CommandLineParser.h include/Surelog/Common/ClockingBlockHolder.h include/Surelog/Common/Containers.h include/Surelog/Common/FileSystem.h include/Surelog/Common/NodeId.h include/Surelog/Common/PathId.h include/Surelog/Common/PlatformFileSystem.h include/Surelog/Common/PortNetHolder.h include/Surelog/Common/RTTI.h include/Surelog/Common/SymbolId.h include/Surelog/Config/Config.h include/Surelog/Config/ConfigSet.h include/Surelog/Design/BindStmt.h include/Surelog/Design/ClockingBlock.h include/Surelog/Design/DataType.h include/Surelog/Design/DefParam.h include/Surelog/Design/Design.h include/Surelog/Design/DesignComponent.h include/Surelog/Design/DesignElement.h include/Surelog/Design/DummyType.h include/Surelog/Design/Enum.h include/Surelog/Design/FileCNodeId.h include/Surelog/Design/FileContent.h include/Surelog/Design/Function.h include/Surelog/Design/Instance.h include/Surelog/Design/LetStmt.h include/Surelog/Design/ModPort.h include/Surelog/Design/ModuleDefinition.h include/Surelog/Design/ModuleInstance.h include/Surelog/Design/Netlist.h include/Surelog/Design/ParamAssign.h include/Surelog/Design/Parameter.h include/Surelog/Design/Scope.h include/Surelog/Design/Signal.h include/Surelog/Design/SimpleType.h include/Surelog/Design/Statement.h include/Surelog/Design/Struct.h include/Surelog/Design/Task.h include/Surelog/Design/TfPortItem.h include/Surelog/Design/TimeInfo.h include/Surelog/Design/Union.h include/Surelog/Design/VObject.h include/Surelog/Design/ValuedComponentI.h include/Surelog/DesignCompile/CompileHelper.h include/Surelog/ErrorReporting/Error.h include/Surelog/ErrorReporting/ErrorContainer.h include/Surelog/ErrorReporting/ErrorDefinition.h include/Surelog/ErrorReporting/Location.h include/Surelog/ErrorReporting/LogListener.h include/Surelog/ErrorReporting/Report.h include/Surelog/ErrorReporting/Waiver.h include/Surelog/Expression/ExprBuilder.h include/Surelog/Expression/Value.h include/Surelog/Library/Library.h include/Surelog/Library/LibrarySet.h include/Surelog/Package/Package.h include/Surelog/SourceCompile/ParseTreeListener.h include/Surelog/SourceCompile/ParseTreeTraceListener.h include/Surelog/SourceCompile/SymbolTable.h include/Surelog/SourceCompile/VObjectTypes.h include/Surelog/Testbench/ClassDefinition.h include/Surelog/Testbench/ClassObject.h include/Surelog/Testbench/Constraint.h include/Surelog/Testbench/CoverGroupDefinition.h include/Surelog/Testbench/FunctionMethod.h include/Surelog/Testbench/Program.h include/Surelog/Testbench/Property.h include/Surelog/Testbench/TaskMethod.h include/Surelog/Testbench/TypeDef.h include/Surelog/Testbench/Variable.h include/Surelog/config.h include/Surelog/surelog-version.h include/Surelog/surelog.h lib/cmake/Surelog/SurelogConfig.cmake lib/cmake/Surelog/SurelogConfigVersion.cmake lib/cmake/Surelog/SurelogTargets-%%CMAKE_BUILD_TYPE%%.cmake lib/cmake/Surelog/SurelogTargets.cmake lib/libantlr4-runtime.a lib/libsurelog.so -lib/libsurelog.so.1.80 +lib/libsurelog.so.1.81 %%PYTHON%%lib/surelog-python/python/slSV3_1aPythonListener.py %%PYTHON%%lib/surelog-python/python/slformatmsg.py %%PYTHON%%lib/surelog-python/python/slwaivers.py libdata/pkgconfig/Surelog.pc