diff --git a/comms/uhd/Makefile b/comms/uhd/Makefile index c96d00b65fb4..d764e224e0ca 100644 --- a/comms/uhd/Makefile +++ b/comms/uhd/Makefile @@ -1,84 +1,84 @@ PORTNAME= uhd DISTVERSIONPREFIX= v -DISTVERSION= 4.1.0.5 +DISTVERSION= 4.2.0.0 CATEGORIES= comms hamradio DIST_SUBDIR= ${PORTNAME} MAINTAINER= mr@FreeBSD.org COMMENT= Ettus Research UHD driver framework # See https://www.ettus.com/sdr-software/licenses/. Dual license # under GPLv3 and and "Alternate License" whose terms are not documented # on the web site (contact info@ettus.com). Mark as GPLv3 for now # until terms of the "Alternate License" are made clear. When that # is understood and if appropriate, we can add that license here. LICENSE= GPLv3 LIB_DEPENDS= ${PY_BOOST} \ libboost_system.so:devel/boost-libs BUILD_DEPENDS= orcc:devel/orc \ cheetah-analyze:devel/py-cheetah3@${PY_FLAVOR} \ ${PYTHON_PKGNAMEPREFIX}mako>0:textproc/py-mako@${PY_FLAVOR} \ rst2html:textproc/py-docutils@${PY_FLAVOR} \ ${PYTHON_PKGNAMEPREFIX}ruamel.yaml>=0:devel/py-ruamel.yaml@${PY_FLAVOR} USES= compiler:c++14-lang cmake ncurses pkgconfig \ dos2unix python:3.7+ shebangfix USE_LDCONFIG= yes USE_GITHUB= yes GH_ACCOUNT= EttusResearch CONFLICTS= usrp BROKEN_FreeBSD_12_aarch64= fails to configure: Could not find standard set_new_handler function BROKEN_FreeBSD_12_armv6= fails to configure: Could not find standard set_new_handler function BROKEN_FreeBSD_12_armv7= fails to configure: Could not find standard set_new_handler function BROKEN_FreeBSD_13_aarch64= fails to configure: Could not find standard set_new_handler function BROKEN_FreeBSD_13_armv6= fails to configure: Could not find standard set_new_handler function BROKEN_FreeBSD_13_armv7= fails to configure: Could not find standard set_new_handler function CMAKE_SOURCE_PATH= ${WRKSRC}/host #CXXFLAGS_amd64= -msse2 -std=c++11 CXXFLAGS_i386= -msse2 LDFLAGS+= ${LDFLAGS_${CHOSEN_COMPILER_TYPE}} LDFLAGS_gcc= -latomic SHEBANG_GLOB= *.py *.py.in *_bist usrp_update* CMAKE_ARGS+= -DPKG_LIB_DIR:STRING="share/uhd" -DUHD_TXRX_DEBUG_PRINTS="yes" # for excruciating debug use this -db #CMAKE_ARGS+= --debug-output --trace OPTIONS_DEFINE= DOCS DOXYGEN OPTIONS_DEFAULT= DOCS OPTIONS_SUB= yes DOXYGEN_BUILD_DEPENDS= doxygen:devel/doxygen DOXYGEN_CMAKE_ON= -DENABLE_DOXYGEN:STRING="ON" DOXYGEN_CMAKE_OFF= -DENABLE_DOXYGEN:STRING="OFF" \ -DENABLE_MANUAL:STRING="OFF" PORTDOCS= * do-install: # install host component # hack the install prefix now @${REINPLACE_CMD} -e 's|"${LOCALBASE}"|"${STAGEDIR}${PREFIX}"|g' \ ${CONFIGURE_WRKSRC}/cmake_install.cmake cd ${CONFIGURE_WRKSRC} && ${MAKE_CMD} install #.for subdir in images # ${CP} -Rp ${WRKDIR}/uhd-ef1576780bc927b8611640091b15f3d051cb97ad/share/uhd/${subdir} ${STAGEDIR}${DATADIR} #.endfor post-install: ${STRIP_CMD} ${STAGEDIR}${DATADIR}/utils/b2xx_fx3_utils ${STRIP_CMD} ${STAGEDIR}${DATADIR}/utils/usrp_burn_mb_eeprom ${STRIP_CMD} ${STAGEDIR}${DATADIR}/utils/usrp_burn_db_eeprom ${STRIP_CMD} ${STAGEDIR}${DATADIR}/utils/query_gpsdo_sensors ${STRIP_CMD} ${STAGEDIR}${DATADIR}/utils/octoclock_burn_eeprom ${STRIP_CMD} ${STAGEDIR}${DATADIR}/utils/latency/responder ${STRIP_CMD} ${STAGEDIR}${DATADIR}/utils/fx2_init_eeprom ${STRIP_CMD} ${STAGEDIR}${DATADIR}/utils/converter_benchmark .include diff --git a/comms/uhd/distinfo b/comms/uhd/distinfo index 4c1d99b1b8b4..62ac93623e3d 100644 --- a/comms/uhd/distinfo +++ b/comms/uhd/distinfo @@ -1,3 +1,3 @@ -TIMESTAMP = 1647299451 -SHA256 (uhd/EttusResearch-uhd-v4.1.0.5_GH0.tar.gz) = 73580bb8823338dbc0ffe2840088cb15d7496ef7d402a4816df17a8e5c8d0221 -SIZE (uhd/EttusResearch-uhd-v4.1.0.5_GH0.tar.gz) = 37975618 +TIMESTAMP = 1653841976 +SHA256 (uhd/EttusResearch-uhd-v4.2.0.0_GH0.tar.gz) = ad15cedc8a4b9ba78078f1c4c3c179eff8297a08e44928679de896ca42313e6b +SIZE (uhd/EttusResearch-uhd-v4.2.0.0_GH0.tar.gz) = 38124395 diff --git a/comms/uhd/files/patch-host_cmake_Modules_UHDAtomics.cmake b/comms/uhd/files/patch-host_cmake_Modules_UHDAtomics.cmake new file mode 100644 index 000000000000..ef5f6f1b90be --- /dev/null +++ b/comms/uhd/files/patch-host_cmake_Modules_UHDAtomics.cmake @@ -0,0 +1,15 @@ +--- host/cmake/Modules/UHDAtomics.cmake.orig 2022-05-30 13:45:17 UTC ++++ host/cmake/Modules/UHDAtomics.cmake +@@ -102,9 +102,9 @@ macro(CHECK_ATOMICS_LIB_REQUIRED required_var) + message(FATAL_ERROR "Host compiler must support std::atomic!") + endif() + else() +- message( +- FATAL_ERROR +- "Boost appears to require libatomic, but cannot find it.") ++ # message( ++ # FATAL_ERROR ++ # "Boost appears to require libatomic, but cannot find it.") + endif() + endif() + endif() diff --git a/comms/uhd/pkg-plist b/comms/uhd/pkg-plist index 34cd3d81ea6a..824997969775 100644 --- a/comms/uhd/pkg-plist +++ b/comms/uhd/pkg-plist @@ -1,513 +1,384 @@ -bin/aurora_bist_test.py -bin/e320_bist bin/rfnoc_image_builder bin/uhd_adc_self_cal bin/uhd_cal_rx_iq_balance bin/uhd_cal_tx_dc_offset bin/uhd_cal_tx_iq_balance bin/uhd_config_info bin/uhd_find_devices bin/uhd_image_loader bin/uhd_usrp_probe -bin/usrp_hwd.py -bin/usrp_update_fs +bin/usrpctl include/uhd.h include/uhd/build_info.hpp include/uhd/cal/cal_metadata_generated.h include/uhd/cal/container.hpp include/uhd/cal/database.hpp include/uhd/cal/dsa_cal.hpp include/uhd/cal/dsa_cal_generated.h include/uhd/cal/iq_cal.hpp include/uhd/cal/iq_cal_generated.h include/uhd/cal/pwr_cal.hpp include/uhd/cal/pwr_cal_generated.h include/uhd/config.h include/uhd/config.hpp include/uhd/convert.hpp include/uhd/device.hpp include/uhd/error.h include/uhd/exception.hpp include/uhd/features/discoverable_feature.hpp include/uhd/features/discoverable_feature_getter_iface.hpp include/uhd/features/ref_clk_calibration_iface.hpp include/uhd/property_tree.hpp include/uhd/property_tree.ipp include/uhd/rfnoc/actions.hpp include/uhd/rfnoc/addsub_block_control.hpp include/uhd/rfnoc/block_control.hpp include/uhd/rfnoc/block_id.hpp include/uhd/rfnoc/blockdef.hpp include/uhd/rfnoc/chdr_types.hpp include/uhd/rfnoc/constants.hpp include/uhd/rfnoc/ddc_block_control.hpp include/uhd/rfnoc/defaults.hpp include/uhd/rfnoc/dirtifier.hpp include/uhd/rfnoc/dmafifo_block_control.hpp include/uhd/rfnoc/duc_block_control.hpp include/uhd/rfnoc/fft_block_control.hpp include/uhd/rfnoc/filter_node.hpp include/uhd/rfnoc/fir_filter_block_control.hpp include/uhd/rfnoc/fosphor_block_control.hpp include/uhd/rfnoc/graph_edge.hpp include/uhd/rfnoc/keep_one_in_n_block_control.hpp include/uhd/rfnoc/logpwr_block_control.hpp include/uhd/rfnoc/mb_controller.hpp include/uhd/rfnoc/mock_block.hpp include/uhd/rfnoc/moving_average_block_control.hpp include/uhd/rfnoc/multichan_register_iface.hpp include/uhd/rfnoc/noc_block_base.hpp include/uhd/rfnoc/noc_block_make_args.hpp include/uhd/rfnoc/node.hpp include/uhd/rfnoc/node.ipp include/uhd/rfnoc/null_block_control.hpp include/uhd/rfnoc/property.hpp include/uhd/rfnoc/property.ipp include/uhd/rfnoc/radio_control.hpp include/uhd/rfnoc/register_iface.hpp include/uhd/rfnoc/register_iface_holder.hpp include/uhd/rfnoc/registry.hpp include/uhd/rfnoc/replay_block_control.hpp include/uhd/rfnoc/res_source_info.hpp include/uhd/rfnoc/rf_control/core_iface.hpp include/uhd/rfnoc/rf_control/power_reference_iface.hpp include/uhd/rfnoc/rfnoc_types.hpp include/uhd/rfnoc/siggen_block_control.hpp include/uhd/rfnoc/split_stream_block_control.hpp include/uhd/rfnoc/switchboard_block_control.hpp include/uhd/rfnoc/traffic_counter.hpp include/uhd/rfnoc/vector_iir_block_control.hpp include/uhd/rfnoc/window_block_control.hpp include/uhd/rfnoc_graph.hpp include/uhd/stream.hpp include/uhd/transport/adapter_id.hpp include/uhd/transport/bounded_buffer.hpp include/uhd/transport/bounded_buffer.ipp include/uhd/transport/buffer_pool.hpp include/uhd/transport/chdr.hpp include/uhd/transport/frame_buff.hpp include/uhd/transport/if_addrs.hpp -include/uhd/transport/tcp_zero_copy.hpp include/uhd/transport/udp_constants.hpp include/uhd/transport/udp_simple.hpp include/uhd/transport/udp_zero_copy.hpp include/uhd/transport/usb_control.hpp include/uhd/transport/usb_device_handle.hpp include/uhd/transport/usb_zero_copy.hpp include/uhd/transport/vrt_if_packet.hpp include/uhd/transport/zero_copy.hpp include/uhd/transport/zero_copy_flow_ctrl.hpp include/uhd/types/byte_vector.hpp include/uhd/types/device_addr.hpp include/uhd/types/dict.hpp include/uhd/types/dict.ipp include/uhd/types/direction.hpp include/uhd/types/eeprom.hpp include/uhd/types/endianness.hpp include/uhd/types/filters.hpp include/uhd/types/mac_addr.hpp include/uhd/types/memmap_iface.hpp include/uhd/types/metadata.h include/uhd/types/metadata.hpp include/uhd/types/ranges.h include/uhd/types/ranges.hpp include/uhd/types/ref_vector.hpp include/uhd/types/sensors.h include/uhd/types/sensors.hpp include/uhd/types/serial.hpp include/uhd/types/stream_cmd.hpp include/uhd/types/string_vector.h include/uhd/types/time_spec.hpp include/uhd/types/tune_request.h include/uhd/types/tune_request.hpp include/uhd/types/tune_result.h include/uhd/types/tune_result.hpp include/uhd/types/usrp_info.h include/uhd/types/wb_iface.hpp include/uhd/usrp/dboard_base.hpp include/uhd/usrp/dboard_eeprom.h include/uhd/usrp/dboard_eeprom.hpp include/uhd/usrp/dboard_id.hpp include/uhd/usrp/dboard_iface.hpp include/uhd/usrp/dboard_manager.hpp include/uhd/usrp/fe_connection.hpp include/uhd/usrp/gpio_defs.hpp include/uhd/usrp/gps_ctrl.hpp include/uhd/usrp/mboard_eeprom.h include/uhd/usrp/mboard_eeprom.hpp include/uhd/usrp/multi_usrp.hpp include/uhd/usrp/subdev_spec.h include/uhd/usrp/subdev_spec.hpp include/uhd/usrp/usrp.h include/uhd/usrp_clock/multi_usrp_clock.hpp include/uhd/usrp_clock/octoclock_eeprom.hpp include/uhd/usrp_clock/usrp_clock.h include/uhd/utils/algorithm.hpp include/uhd/utils/assert_has.hpp include/uhd/utils/assert_has.ipp include/uhd/utils/byteswap.hpp include/uhd/utils/byteswap.ipp include/uhd/utils/cast.hpp include/uhd/utils/chdr/chdr_packet.hpp include/uhd/utils/chdr/chdr_packet.ipp include/uhd/utils/csv.hpp include/uhd/utils/dirty_tracked.hpp include/uhd/utils/fp_compare_delta.ipp include/uhd/utils/fp_compare_epsilon.ipp include/uhd/utils/gain_group.hpp include/uhd/utils/graph_utils.hpp include/uhd/utils/interpolation.hpp include/uhd/utils/log.h include/uhd/utils/log.hpp include/uhd/utils/log_add.hpp include/uhd/utils/math.hpp include/uhd/utils/msg_task.hpp include/uhd/utils/noncopyable.hpp include/uhd/utils/paths.hpp include/uhd/utils/pimpl.hpp include/uhd/utils/platform.hpp include/uhd/utils/pybind_adaptors.hpp include/uhd/utils/safe_call.hpp include/uhd/utils/safe_main.hpp include/uhd/utils/scope_exit.hpp include/uhd/utils/static.hpp include/uhd/utils/tasks.hpp include/uhd/utils/thread.hpp include/uhd/utils/thread_priority.h include/uhd/utils/thread_priority.hpp include/uhd/version.h include/uhd/version.hpp lib/cmake/uhd/UHDBoost.cmake lib/cmake/uhd/UHDConfig.cmake lib/cmake/uhd/UHDConfigVersion.cmake lib/libuhd.so -lib/libuhd.so.4.1.0 -%%PYTHON_SITELIBDIR%%/usrp_mpm/__init__.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/aurora_control.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/bfrfs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/bist.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/__init__.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/adf400x.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/ds125df410.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/ic_reg_maps/__init__.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/lmk03328.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/lmk04828.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/lmk04832.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/lmk05318.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/lmx2572.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/chips/max10_cpld_flash_ctrl.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/components.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/cores/__init__.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/cores/eyescan.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/cores/nijesdcore.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/cores/tdc_sync.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/cores/white_rabbit.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/__init__.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/adc_rh.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/base.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/dac_rh.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/dboard_iface.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/e31x_db.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/eiscat.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/empty_slot.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/gain_rh.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/gaintables_rh.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/lmk_eiscat.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/lmk_mg.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/lmk_rh.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/magnesium.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/magnesium_update_cpld.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/mg_init.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/mg_periphs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/neon.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/rh_init.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/rh_periphs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/rhodium.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/rhodium_update_cpld.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/test.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/unknown.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/x4xx_db_iface.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/x4xx_debug_db.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/x4xx_if_test_cca.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/zbx.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/dboard_manager/zbx_update_cpld.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/discovery.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/e31x_legacy_eeprom.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/eeprom.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/ethdispatch.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/fpga_bit_to_bin.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/gpsd_iface.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/mpmlog.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/mpmtypes.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/mpmutils.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/__init__.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/base.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/common.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/e31x.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/e31x_periphs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/e320.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/e320_periphs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/n3xx.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/n3xx_periphs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/sim.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_clk_aux.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_clk_mgr.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_gps_mgr.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_mb_cpld.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_periphs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_reference_pll.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_rfdc_ctrl.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_rfdc_regs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_sample_pll.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/periph_manager/x4xx_update_cpld.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/prefs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/process_manager.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/rpc_server.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/__init__.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/chdr_endpoint.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/chdr_stream.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/config.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/hardware_presets.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/noc_block_regs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/rfnoc_common.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/rfnoc_graph.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/sample_source.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/sim_dboard.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/stream_endpoint_node.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/simulator/stream_ep_regs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/__init__.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/db_flash.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/dtoverlay.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/ectool.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/filesystem_status.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/gpio.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/i2c_dev.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/mount.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/net.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/sysfs_gpio.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/sysfs_thermal.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/udev.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/uio.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/sys_utils/watchdog.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/test_bfrfs.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/tlv_eeprom.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/user_eeprom.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/xports/__init__.py -%%PYTHON_SITELIBDIR%%/usrp_mpm/xports/xportmgr_udp.py +lib/libuhd.so.4.2.0 libdata/pkgconfig/uhd.pc -share/man/man1/octoclock_firmware_burner.1.gz +%%PORTDOCS%%%%DOCSDIR%%/LICENSE +%%PORTDOCS%%%%DOCSDIR%%/README.md share/man/man1/uhd_cal_rx_iq_balance.1.gz share/man/man1/uhd_cal_tx_dc_offset.1.gz share/man/man1/uhd_cal_tx_iq_balance.1.gz share/man/man1/uhd_config_info.1.gz share/man/man1/uhd_find_devices.1.gz share/man/man1/uhd_image_loader.1.gz share/man/man1/uhd_images_downloader.1.gz share/man/man1/uhd_usrp_probe.1.gz share/man/man1/usrp2_card_burner.1.gz share/man/man1/usrp_n2xx_simple_net_burner.1.gz -share/man/man1/usrp_x3xx_fpga_burner.1.gz %%DATADIR%%/cal/cal_metadata.fbs %%DATADIR%%/cal/dsa_cal.fbs %%DATADIR%%/cal/iq_cal.fbs %%DATADIR%%/cal/pwr_cal.fbs %%DATADIR%%/examples/benchmark_rate %%DATADIR%%/examples/gpio %%DATADIR%%/examples/latency_test %%DATADIR%%/examples/network_relay -%%DATADIR%%/examples/python/benchmark_rate.py -%%DATADIR%%/examples/python/curses_fft.py -%%DATADIR%%/examples/python/rx_to_file.py -%%DATADIR%%/examples/python/tx_waveforms.py -%%DATADIR%%/examples/python/usrp_power_meter.py %%DATADIR%%/examples/rfnoc_nullsource_ce_rx %%DATADIR%%/examples/rfnoc_radio_loopback %%DATADIR%%/examples/rfnoc_replay_samples_from_file %%DATADIR%%/examples/rfnoc_rx_to_file %%DATADIR%%/examples/rx_ascii_art_dft %%DATADIR%%/examples/rx_multi_samples %%DATADIR%%/examples/rx_samples_c %%DATADIR%%/examples/rx_samples_to_file %%DATADIR%%/examples/rx_samples_to_udp %%DATADIR%%/examples/rx_timed_samples +%%DATADIR%%/examples/spi %%DATADIR%%/examples/sync_to_gps %%DATADIR%%/examples/test_clock_synch %%DATADIR%%/examples/test_dboard_coercion %%DATADIR%%/examples/test_messages %%DATADIR%%/examples/test_pps_input %%DATADIR%%/examples/test_timed_commands %%DATADIR%%/examples/twinrx_freq_hopping %%DATADIR%%/examples/tx_bursts %%DATADIR%%/examples/tx_samples_c %%DATADIR%%/examples/tx_samples_from_file %%DATADIR%%/examples/tx_timed_samples %%DATADIR%%/examples/tx_waveforms %%DATADIR%%/examples/txrx_loopback_to_file %%DATADIR%%/examples/usrp_list_sensors %%DATADIR%%/rfnoc/blocks/addsub.yml %%DATADIR%%/rfnoc/blocks/axi_ram_fifo.yml -%%DATADIR%%/rfnoc/blocks/axi_ram_fifo_2x64.yml -%%DATADIR%%/rfnoc/blocks/axi_ram_fifo_4x64.yml %%DATADIR%%/rfnoc/blocks/ddc.yml %%DATADIR%%/rfnoc/blocks/duc.yml %%DATADIR%%/rfnoc/blocks/fft_1x64.yml %%DATADIR%%/rfnoc/blocks/fir_filter.yml %%DATADIR%%/rfnoc/blocks/fosphor.yml %%DATADIR%%/rfnoc/blocks/keep_one_in_n.yml %%DATADIR%%/rfnoc/blocks/logpwr.yml %%DATADIR%%/rfnoc/blocks/moving_avg.yml %%DATADIR%%/rfnoc/blocks/null_src_sink.yml %%DATADIR%%/rfnoc/blocks/radio.yml -%%DATADIR%%/rfnoc/blocks/radio_1x64.yml -%%DATADIR%%/rfnoc/blocks/radio_2x64.yml %%DATADIR%%/rfnoc/blocks/replay.yml %%DATADIR%%/rfnoc/blocks/siggen.yml %%DATADIR%%/rfnoc/blocks/split_stream.yml %%DATADIR%%/rfnoc/blocks/switchboard.yml %%DATADIR%%/rfnoc/blocks/vector_iir.yml %%DATADIR%%/rfnoc/blocks/window.yml %%DATADIR%%/rfnoc/core/e310_bsp.yml %%DATADIR%%/rfnoc/core/e320_bsp.yml %%DATADIR%%/rfnoc/core/io_signatures.yml %%DATADIR%%/rfnoc/core/n300_bsp.yml %%DATADIR%%/rfnoc/core/n310_bsp.yml %%DATADIR%%/rfnoc/core/n320_bsp.yml %%DATADIR%%/rfnoc/core/rfnoc_imagebuilder_args.json %%DATADIR%%/rfnoc/core/x300_bsp.yml %%DATADIR%%/rfnoc/core/x310_bsp.yml %%DATADIR%%/rfnoc/core/x410_bsp.yml %%DATADIR%%/tests/actions_test %%DATADIR%%/tests/addr_test %%DATADIR%%/tests/addsub_block_test %%DATADIR%%/tests/block_id_test %%DATADIR%%/tests/buffer_test %%DATADIR%%/tests/byteswap_test %%DATADIR%%/tests/cal_data_dsa_test %%DATADIR%%/tests/cal_data_gain_pwr_test %%DATADIR%%/tests/cal_data_iq_test %%DATADIR%%/tests/cal_database_test %%DATADIR%%/tests/cast_test %%DATADIR%%/tests/chdr_parse_test %%DATADIR%%/tests/chdr_test %%DATADIR%%/tests/client_zero_test %%DATADIR%%/tests/config_parser_test %%DATADIR%%/tests/constrained_device_args_test %%DATADIR%%/tests/convert_test %%DATADIR%%/tests/ddc_block_test %%DATADIR%%/tests/devtest/benchmark_rate_test.py %%DATADIR%%/tests/devtest/bitbang_test.py %%DATADIR%%/tests/devtest/devtest_b2xx.py %%DATADIR%%/tests/devtest/devtest_e320.py %%DATADIR%%/tests/devtest/devtest_e3xx.py %%DATADIR%%/tests/devtest/devtest_n3x0.py %%DATADIR%%/tests/devtest/devtest_x3x0.py %%DATADIR%%/tests/devtest/devtest_x4x0.py %%DATADIR%%/tests/devtest/gpio_test.py %%DATADIR%%/tests/devtest/list_sensors_test.py %%DATADIR%%/tests/devtest/multi_usrp_test.py %%DATADIR%%/tests/devtest/python_api_test.py %%DATADIR%%/tests/devtest/python_rx_stability_test.py %%DATADIR%%/tests/devtest/recv_stability_test.py %%DATADIR%%/tests/devtest/run_testsuite.py %%DATADIR%%/tests/devtest/rx_samples_to_file_test.py %%DATADIR%%/tests/devtest/test_messages_test.py %%DATADIR%%/tests/devtest/test_pps_test.py %%DATADIR%%/tests/devtest/tx_bursts_test.py %%DATADIR%%/tests/devtest/tx_waveforms_test.py %%DATADIR%%/tests/devtest/uhd_test_base.py %%DATADIR%%/tests/devtest/usrp_probe.py %%DATADIR%%/tests/devtest/usrp_probe_test.py %%DATADIR%%/tests/dict_test %%DATADIR%%/tests/discoverable_feature_test %%DATADIR%%/tests/duc_block_test %%DATADIR%%/tests/eeprom_c_test %%DATADIR%%/tests/eeprom_utils_test %%DATADIR%%/tests/error_c_test %%DATADIR%%/tests/error_test %%DATADIR%%/tests/expert_test %%DATADIR%%/tests/fe_conn_test %%DATADIR%%/tests/fft_block_test %%DATADIR%%/tests/fir_filter_block_test %%DATADIR%%/tests/fosphor_block_test %%DATADIR%%/tests/fp_compare_delta_test %%DATADIR%%/tests/fp_compare_epsilon_test %%DATADIR%%/tests/gain_group_test %%DATADIR%%/tests/interpolation_test %%DATADIR%%/tests/isatty_test %%DATADIR%%/tests/keep_one_in_n_test %%DATADIR%%/tests/link_test %%DATADIR%%/tests/lmx2572_test %%DATADIR%%/tests/log_test %%DATADIR%%/tests/logpwr_block_test %%DATADIR%%/tests/math_test %%DATADIR%%/tests/mb_controller_test %%DATADIR%%/tests/moving_average_block_test %%DATADIR%%/tests/multichan_register_iface_test %%DATADIR%%/tests/narrow_cast_test %%DATADIR%%/tests/null_block_test %%DATADIR%%/tests/offload_io_srv_test %%DATADIR%%/tests/packet_handler_benchmark %%DATADIR%%/tests/paths_test %%DATADIR%%/tests/property_test -%%DATADIR%%/tests/pychdr_parse_test.py -%%DATADIR%%/tests/pyranges_test.py %%DATADIR%%/tests/pwr_cal_mgr_test %%DATADIR%%/tests/ranges_c_test %%DATADIR%%/tests/ranges_test %%DATADIR%%/tests/replay_block_test %%DATADIR%%/tests/rf_control_gain_profile_test %%DATADIR%%/tests/rfnoc_chdr_test %%DATADIR%%/tests/rfnoc_detailgraph_test %%DATADIR%%/tests/rfnoc_node_test %%DATADIR%%/tests/rfnoc_property_test %%DATADIR%%/tests/rfnoc_propprop_test %%DATADIR%%/tests/rx_streamer_test %%DATADIR%%/tests/scope_exit_test %%DATADIR%%/tests/sensors_c_test %%DATADIR%%/tests/sensors_test %%DATADIR%%/tests/serial_number_test %%DATADIR%%/tests/siggen_block_test %%DATADIR%%/tests/soft_reg_test %%DATADIR%%/tests/sph_recv_test %%DATADIR%%/tests/sph_send_test %%DATADIR%%/tests/split_stream_block_test %%DATADIR%%/tests/streamer_benchmark %%DATADIR%%/tests/streaming_performance/batch_run_benchmark_rate.py %%DATADIR%%/tests/streaming_performance/parse_benchmark_rate.py %%DATADIR%%/tests/streaming_performance/run_E3xx_max_rate_tests.py %%DATADIR%%/tests/streaming_performance/run_N3xx_max_rate_tests.py %%DATADIR%%/tests/streaming_performance/run_X3xx_max_rate_tests.py %%DATADIR%%/tests/streaming_performance/run_benchmark_rate.py %%DATADIR%%/tests/string_vector_c_test %%DATADIR%%/tests/subdev_spec_c_test %%DATADIR%%/tests/subdev_spec_test %%DATADIR%%/tests/switchboard_block_test %%DATADIR%%/tests/system_time_test %%DATADIR%%/tests/tasks_test %%DATADIR%%/tests/time_spec_test %%DATADIR%%/tests/transport_test %%DATADIR%%/tests/tx_streamer_test -%%DATADIR%%/tests/uhd_image_downloader_test.py %%DATADIR%%/tests/vector_iir_block_test -%%DATADIR%%/tests/verify_fbs_test.py %%DATADIR%%/tests/vrt_test %%DATADIR%%/tests/window_block_test %%DATADIR%%/tests/x400_rfdc_control_test %%DATADIR%%/tests/x4xx_radio_block_test %%DATADIR%%/tests/zbx_cpld_test %%DATADIR%%/utils/b2xx_fx3_utils %%DATADIR%%/utils/convert_cal_data.py %%DATADIR%%/utils/converter_benchmark %%DATADIR%%/utils/converter_benchmark.py %%DATADIR%%/utils/fx2_init_eeprom %%DATADIR%%/utils/latency/graph.py %%DATADIR%%/utils/latency/responder %%DATADIR%%/utils/latency/run_tests.py %%DATADIR%%/utils/octoclock_burn_eeprom %%DATADIR%%/utils/query_gpsdo_sensors %%DATADIR%%/utils/uhd_images_downloader.py %%DATADIR%%/utils/uhd_power_cal.py %%DATADIR%%/utils/usrp2_card_burner.py %%DATADIR%%/utils/usrp_burn_db_eeprom %%DATADIR%%/utils/usrp_burn_mb_eeprom