diff --git a/cad/verilator/Makefile b/cad/verilator/Makefile index 4034d3552151..6575de9006a3 100644 --- a/cad/verilator/Makefile +++ b/cad/verilator/Makefile @@ -1,67 +1,68 @@ PORTNAME= verilator DISTVERSIONPREFIX= v -DISTVERSION= 5.006 -PORTREVISION= 1 +DISTVERSION= 5.008 CATEGORIES= cad MAINTAINER= yuri@FreeBSD.org COMMENT= Synthesizable Verilog to C++ compiler WWW= https://www.veripool.org/projects/verilator/wiki/Intro LICENSE= GPLv3 LICENSE_FILE= ${WRKSRC}/LICENSE BROKEN_i386= see https://github.com/verilator/verilator/issues/3037 BUILD_DEPENDS= autoconf>0:devel/autoconf \ bash:shells/bash \ ${LOCALBASE}/bin/ar:devel/binutils \ help2man:misc/help2man LIB_DEPENDS= libsystemc.so:devel/systemc RUN_DEPENDS= gmake:devel/gmake # verilator runs gmake for the --hierarchical option when the 'gmake' method is chosen USES= bison compiler:c++14-lang gmake localbase:ldflags pathfix perl5 python:build,run,test shebangfix tar:tgz USE_GITHUB= yes SHEBANG_FILES= bin/verilator_ccache_report \ bin/verilator_difftree \ bin/verilator_gantt \ bin/verilator_includer \ bin/verilator_includer \ bin/verilator_profcfunc GNU_CONFIGURE= yes CONFIGURE_ENV= INSTALL_PROGRAM="${INSTALL_SCRIPT}" CONFIGURE_ARGS= AR=${LOCALBASE}/bin/ar \ PYTHON3=${PYTHON_CMD} CONFIGURE_SHELL= ${LOCALBASE}/bin/bash # see https://github.com/verilator/verilator/issues/3132 TEST_TARGET= test BINARY_ALIAS= make=${GMAKE} python3=${PYTHON_CMD} # aliasas are only for tests +#MAKE_JOBS_UNSAFE= yes # build on 1 CPU because many compile jobs are over 10GB and they can likely cause out-of-memory issues + OPTIONS_DEFINE= INSTALL_DBG_EXECUTABLES LEAK_CHECKS OPTIONS_SUB= yes INSTALL_DBG_EXECUTABLES_DESC= Install *_dbg executables LEAK_CHECKS_DESC= Disable intentional memory leaks LEAK_CHECKS_CXXFLAGS= -DVL_LEAK_CHECKS LEAK_CHECKS_BROKEN= compilation will be fixed in the next release post-patch: @${REINPLACE_CMD} -e 's|@pkgconfigdir@|${PREFIX}/libdata/pkgconfig|' \ ${WRKSRC}/Makefile.in pre-configure: cd ${WRKSRC} && \ autoconf post-build: @${STRIP_CMD} ${WRKSRC}/bin/verilator_bin post-install-INSTALL_DBG_EXECUTABLES-off: @${STRIP_CMD} ${STAGEDIR}${PREFIX}/bin/verilator_bin @${RM} ${STAGEDIR}${PREFIX}/bin/verilator_bin_dbg ${STAGEDIR}${PREFIX}/bin/verilator_coverage_bin_dbg .include diff --git a/cad/verilator/distinfo b/cad/verilator/distinfo index c573248bb266..f28877af3fd6 100644 --- a/cad/verilator/distinfo +++ b/cad/verilator/distinfo @@ -1,3 +1,3 @@ -TIMESTAMP = 1674527154 -SHA256 (verilator-verilator-v5.006_GH0.tar.gz) = eb4ca4157ba854bc78c86173c58e8bd13311984e964006803dd45dc289450cfe -SIZE (verilator-verilator-v5.006_GH0.tar.gz) = 2866281 +TIMESTAMP = 1678041874 +SHA256 (verilator-verilator-v5.008_GH0.tar.gz) = 1d19f4cd186eec3dfb363571e3fe2e6d3377386ead6febc6ad45402f0634d2a6 +SIZE (verilator-verilator-v5.008_GH0.tar.gz) = 2899738