Index: devel/arachne-pnr/Makefile =================================================================== --- devel/arachne-pnr/Makefile +++ devel/arachne-pnr/Makefile @@ -2,7 +2,7 @@ # $FreeBSD$ PORTNAME= arachne-pnr -PORTVERSION= g20180310 +PORTVERSION= g20181021 CATEGORIES= devel MAINTAINER= jsorocil@gmail.com @@ -17,7 +17,7 @@ USE_GITHUB= yes GH_ACCOUNT= cseed -GH_TAGNAME= 6701132cbd5c7b31edd0ff18ca6727eb3691186b +GH_TAGNAME= 840bdfdeb38809f9f6af4d89dd7b22959b176fdd post-install: ${STRIP_CMD} ${STAGEDIR}${PREFIX}/bin/arachne-pnr Index: devel/arachne-pnr/distinfo =================================================================== --- devel/arachne-pnr/distinfo +++ devel/arachne-pnr/distinfo @@ -1,3 +1,3 @@ -TIMESTAMP = 1527417304 -SHA256 (cseed-arachne-pnr-g20180310-6701132cbd5c7b31edd0ff18ca6727eb3691186b_GH0.tar.gz) = 0a4f3a5beefbe56863e6e9b680054b7f88bb7aed9682c8bb04c9147345320b49 -SIZE (cseed-arachne-pnr-g20180310-6701132cbd5c7b31edd0ff18ca6727eb3691186b_GH0.tar.gz) = 91249 +TIMESTAMP = 1540151995 +SHA256 (cseed-arachne-pnr-g20181021-840bdfdeb38809f9f6af4d89dd7b22959b176fdd_GH0.tar.gz) = 33b05788e49c130e23e1daaa519b4c076b0c03fb5284bc29af8738848fad7c39 +SIZE (cseed-arachne-pnr-g20181021-840bdfdeb38809f9f6af4d89dd7b22959b176fdd_GH0.tar.gz) = 94415 Index: devel/arachne-pnr/pkg-plist =================================================================== --- devel/arachne-pnr/pkg-plist +++ devel/arachne-pnr/pkg-plist @@ -3,3 +3,4 @@ %%DATADIR%%/chipdb-384.bin %%DATADIR%%/chipdb-5k.bin %%DATADIR%%/chipdb-8k.bin +%%DATADIR%%/chipdb-lm4k.bin Index: devel/icestorm/Makefile =================================================================== --- devel/icestorm/Makefile +++ devel/icestorm/Makefile @@ -2,7 +2,7 @@ # $FreeBSD$ PORTNAME= icestorm -PORTVERSION= g20180310 +PORTVERSION= g20181021 CATEGORIES= devel MAINTAINER= jsorocil@gmail.com @@ -19,7 +19,7 @@ USE_GITHUB= yes GH_ACCOUNT= cliffordwolf -GH_TAGNAME= 4476d83f76fa0222be0b691fe27c1e0228266f82 +GH_TAGNAME= 5ab07ed32a768d484284f1d0e58f61c2ef9d398a BINARY_ALIAS= python3=${PYTHON_CMD} Index: devel/icestorm/distinfo =================================================================== --- devel/icestorm/distinfo +++ devel/icestorm/distinfo @@ -1,3 +1,3 @@ -TIMESTAMP = 1527186186 -SHA256 (cliffordwolf-icestorm-g20180310-4476d83f76fa0222be0b691fe27c1e0228266f82_GH0.tar.gz) = 1dc3b821e0e4bcdc7f61655678e04fac847f820a5d15a2f89bcbe0baed477bcb -SIZE (cliffordwolf-icestorm-g20180310-4476d83f76fa0222be0b691fe27c1e0228266f82_GH0.tar.gz) = 865104 +TIMESTAMP = 1540150802 +SHA256 (cliffordwolf-icestorm-g20181021-5ab07ed32a768d484284f1d0e58f61c2ef9d398a_GH0.tar.gz) = f133d6f39452cd738a92efd42e8cc5ce8a59c8e352bef27509a96177f4b3203a +SIZE (cliffordwolf-icestorm-g20181021-5ab07ed32a768d484284f1d0e58f61c2ef9d398a_GH0.tar.gz) = 873783 Index: devel/icestorm/pkg-plist =================================================================== --- devel/icestorm/pkg-plist +++ devel/icestorm/pkg-plist @@ -1,3 +1,4 @@ +bin/icebox.py bin/icebox_asc2hlc bin/icebox_chipdb bin/icebox_colbuf @@ -8,7 +9,6 @@ bin/icebox_maps bin/icebox_stat bin/icebox_vlog -bin/icebox.py bin/iceboxdb.py bin/icebram bin/icemulti @@ -21,3 +21,10 @@ share/icebox/chipdb-384.txt share/icebox/chipdb-5k.txt share/icebox/chipdb-8k.txt +share/icebox/chipdb-lm4k.txt +share/icebox/timings_hx1k.txt +share/icebox/timings_hx8k.txt +share/icebox/timings_lp1k.txt +share/icebox/timings_lp384.txt +share/icebox/timings_lp8k.txt +share/icebox/timings_up5k.txt Index: devel/lattice-ice40-tools/Makefile =================================================================== --- devel/lattice-ice40-tools/Makefile +++ devel/lattice-ice40-tools/Makefile @@ -2,7 +2,7 @@ # $FreeBSD$ PORTNAME= lattice-ice40-tools -PORTVERSION= g20180310 +PORTVERSION= g20181021 CATEGORIES= devel MAINTAINER= jsorocil@gmail.com Index: devel/yosys/Makefile =================================================================== --- devel/yosys/Makefile +++ devel/yosys/Makefile @@ -2,8 +2,7 @@ # $FreeBSD$ PORTNAME= yosys -DISTVERSION= 0.7-783 -DISTVERSIONSUFFIX= -gbab39eac +DISTVERSION= 0.8 CATEGORIES= devel MAINTAINER= jsorocil@gmail.com @@ -25,7 +24,7 @@ USE_GITHUB= yes GH_ACCOUNT= YosysHQ -GH_TAGNAME= bab39eacce5c17c42d50a3a60a67cc8a9ee52d98 +GH_TAGNAME= yosys-0.8 BINARY_ALIAS= python3=${PYTHON_CMD} tclsh=${TCLSH} MAKE_ARGS= ABCEXTERNAL=abc Index: devel/yosys/distinfo =================================================================== --- devel/yosys/distinfo +++ devel/yosys/distinfo @@ -1,3 +1,3 @@ -TIMESTAMP = 1527191683 -SHA256 (YosysHQ-yosys-0.7-783-gbab39eac-bab39eacce5c17c42d50a3a60a67cc8a9ee52d98_GH0.tar.gz) = 1c97050a19f653fc957550cb5a505e1ebcb5722eade487bd86e8a5f9681ae09c -SIZE (YosysHQ-yosys-0.7-783-gbab39eac-bab39eacce5c17c42d50a3a60a67cc8a9ee52d98_GH0.tar.gz) = 1089933 +TIMESTAMP = 1540147281 +SHA256 (YosysHQ-yosys-0.8-5706e90802fdf51a476e769790f6b5b526c57572_GH0.tar.gz) = 7052d680fabd521cb52fa46a310cadb5e022ee16d20f69167d0974da0b098bdd +SIZE (YosysHQ-yosys-0.8-5706e90802fdf51a476e769790f6b5b526c57572_GH0.tar.gz) = 1119594 Index: devel/yosys/pkg-plist =================================================================== --- devel/yosys/pkg-plist +++ devel/yosys/pkg-plist @@ -11,22 +11,27 @@ %%DATADIR%%/coolrunner2/tff_extract.v %%DATADIR%%/coolrunner2/xc2_dff.lib %%DATADIR%%/dff2ff.v +%%DATADIR%%/ecp5/arith_map.v +%%DATADIR%%/ecp5/cells_map.v +%%DATADIR%%/ecp5/cells_sim.v +%%DATADIR%%/ecp5/dram.txt +%%DATADIR%%/ecp5/drams_map.v %%DATADIR%%/gowin/cells_map.v %%DATADIR%%/gowin/cells_sim.v %%DATADIR%%/greenpak4/cells_blackbox.v %%DATADIR%%/greenpak4/cells_latch.v %%DATADIR%%/greenpak4/cells_map.v +%%DATADIR%%/greenpak4/cells_sim.v %%DATADIR%%/greenpak4/cells_sim_ams.v %%DATADIR%%/greenpak4/cells_sim_digital.v %%DATADIR%%/greenpak4/cells_sim_wip.v -%%DATADIR%%/greenpak4/cells_sim.v %%DATADIR%%/greenpak4/gp_dff.lib %%DATADIR%%/ice40/arith_map.v +%%DATADIR%%/ice40/brams.txt %%DATADIR%%/ice40/brams_init1.vh %%DATADIR%%/ice40/brams_init2.vh %%DATADIR%%/ice40/brams_init3.vh %%DATADIR%%/ice40/brams_map.v -%%DATADIR%%/ice40/brams.txt %%DATADIR%%/ice40/cells_map.v %%DATADIR%%/ice40/cells_sim.v %%DATADIR%%/ice40/latches_map.v @@ -52,8 +57,8 @@ %%DATADIR%%/intel/a10gx/cells_map.v %%DATADIR%%/intel/a10gx/cells_sim.v %%DATADIR%%/intel/common/altpll_bb.v -%%DATADIR%%/intel/common/brams_map.v %%DATADIR%%/intel/common/brams.txt +%%DATADIR%%/intel/common/brams_map.v %%DATADIR%%/intel/common/m9k_bb.v %%DATADIR%%/intel/cyclone10/cells_map.v %%DATADIR%%/intel/cyclone10/cells_sim.v @@ -71,16 +76,16 @@ %%DATADIR%%/simlib.v %%DATADIR%%/techmap.v %%DATADIR%%/xilinx/arith_map.v +%%DATADIR%%/xilinx/brams.txt %%DATADIR%%/xilinx/brams_bb.v %%DATADIR%%/xilinx/brams_init_%%PYTHON_SUFFIX%%.vh %%DATADIR%%/xilinx/brams_init_16.vh %%DATADIR%%/xilinx/brams_init_18.vh %%DATADIR%%/xilinx/brams_init_32.vh %%DATADIR%%/xilinx/brams_map.v -%%DATADIR%%/xilinx/brams.txt %%DATADIR%%/xilinx/cells_map.v %%DATADIR%%/xilinx/cells_sim.v %%DATADIR%%/xilinx/cells_xtra.v -%%DATADIR%%/xilinx/drams_map.v %%DATADIR%%/xilinx/drams.txt +%%DATADIR%%/xilinx/drams_map.v %%DATADIR%%/xilinx/lut2lut.v